ニュースリリース - 2007年6月6日

シノプシス、45nmの設計課題を解決するためTSMCリファレンス設計フローに最先端設計テクニックを搭載

ダイ内部のバラツキ対策のためのスタティスティカル・タイミング解析機能
DFMホットスポット自動修正機能
最新のダイナミック・ローパワー設計メソドロジ

2007年6月4日 カリフォルニア州マウンテンビュー、台湾 新竹市、発 - 半導体設計ツールの世界的リーダーであるシノプシス(Synopsys, Inc.、Nasdaq上場コード:SNPS)と、Taiwan Semiconductor Manufacturing Company, Ltd.(TSMC、TSE上場コード2330、NYSE上場コードTSM)は本日、シノプシスによるTSMCリファレンス設計フロー 8.0ならびに、同社の45nmプロセス・テクノロジのサポートを発表した。シノプシスは、Galaxyデザイン・プラットフォーム、Discoveryベリフィケーション・プラットフォーム、DFM関連ツール群をベースにしたTSMCリファレンス設計フロー 8.0を提供する。

TSMCリファレンス設計フロー 8.0には、ダイ内部のバラツキ対策のためのスタティスティカル・タイミング解析機能、DFMホットスポット自動修正機能、最新のダイナミック・ローパワー設計メソドロジといった機能が搭載されている。この最先端のパワー・マネージメント・テクニックは、シノプシスのGalaxyデザイン・プラットフォームをベースにしており、クロック・ゲーティングやマルチ・スレッショルドといった一般的に使用されているテクニックのみならず、多電源設計、MTCMOSパワー・ゲーティングなどの最先端機能を備えている。TSMCリファレンス設計フロー 8.0を用いることにより、論理合成、フィジカル設計、サインオフ検証の各設計工程を通して、ダイナミック・パワーならびにリーク・パワーの最適化を実行できるようになる。

シノプシス ストラテジック・マーケット・ディベロップメント担当副社長 Rich Goldmanは、次のように語っている。「当社のプラットフォーム・ソリューションをベースにしたTSMCリファレンス設計フロー 8.0により、設計者は複雑なナノメータ設計の課題に対処できるようになります。当社とTSMC社の継続的な協力関係により、RTL設計段階からシリコンへの実装までを包括的に網羅したソリューションを両社共通のお客様にお届けします。これにより、お客様は開発リスクを最小化できます」

シノプシスのDiscoveryベリフィケーション・プラットフォームでは、マルチ・パワー・ドメイン、レベルシフタ、アイソレーション・セル、リテンション・メモリ・エレメントなどの最先端のパワー・マネージメント・テクニックを用いたデザインに対して、ロー・パワーを考慮したシミュレーション/フォーマル検証/スタティック解析を実行できる。既に最先端の多電源デザインが、シノプシスのパワー・マネージメント・ソリューションを用いて、TSMCマニュファクチャリング・テクノロジによりテープアウトされている。

TSMC デザイン・サービス・マーケティング担当ディレクター Kuo Wuは、次のように述べている。「当社とシノプシス社は、止むことの無いディープ・サブミクロン設計課題を克服するため、長きにわたって協業してまいりました。製造容易性を確保し、歩留まりを上げ、リーク・パワーを削減していくという課題は、45nmノードの設計においては非常に重大な関心事です。シノプシス社のツール群とプラットフォーム・ソリューションをベースにしたTSMCリファレンス設計フロー 8.0により、これらの問題に対処できるようになります」

TSMCリファレンス設計フロー 8.0では、Galaxyデザイン・プラットフォームと、45nm対応の歩留まり解析ツールPrimeYieldが提供する最新機能のメリットを活用できる。設計者は、IC Compilerが提供するクリティカルエリア削減とホットスポット自動修正というコンカレントな歩留まり最適化機能を活用できるため、デザイン・インプリメンテーションの生産性を向上させることができる。歩留まり解析に関しては、PrimeYield LCC(Lithography Compliance Check)を用いて、機能に関するホットスポット解析のみならずパラメトリックなタイミング解析も実行できる。これを実現できるのは、PrimeYieldとStar-RCXTがVirtual CMP(Chemical Mechanical Polishing)解析エンジンなどの最先端機能を搭載しているからである。

シノプシスは、TSMCとの協業を通じて、過剰な設計マージンを削減し、デザインをより堅牢なものにし、パラメトリック歩留まりを改善する“バラツキを考慮した設計フロー”を構築してきた。シノプシスの、バラツキを考慮した解析ソリューションは3つの重要な技術要素で構成されている。CCS(Composite Current Source)ベースのスタティスティカルなライブラリ、Star-RCXT VXに搭載されている感度ベースの抽出エンジン、PrimeTime VXが提供するスタティスティカル・タイミング解析テクノロジである。設計者は、直面している複雑な45nm SoCデザインに対してこのソリューションを適用することにより、45nm以降のデバイスや内部配線で広範囲にわたって発生するバラツキが引き起こす不確実性に対処できる。TSMCリファレンス設計フロー 8.0に搭載されているその他の機能向上には、最先端のDFT(Design for Test)機能や、TSMCの45nmプロセス向け設計ルールのサポートなどがある。

TSMCリファレンス設計フロー 8.0について
TSMCリファレンス設計フロー 8.0には、シノプシスの包括的なRTL to GDSⅡソリューションが組み込まれている。RTLシンセシス、フィジカル・インプリメンテーション、サインオフ解析にはGalaxyデザイン・プラットフォームが用いられており、RTL検証と回路シミュレーションには、Discoveryベリフィケーション・プラットフォームのVCSHSPICEHSIMならびにNanoSimが用いられている。

Galaxyが提供するリファレンス設計フロー 8.0の主要な構成要素は以下の通りである。

  • 論理合成:Design CompilerならびにDesign Compilerトポグラフィカル・テクノロジ
  • 多電源パワー・マネージメント:Power Compiler
  • RTLチェッカ:Leda
  • ワンパス・テスト合成:DFT MAX
  • フィジカル・デザイン・プランニング:JupiterXT
  • フィジカル・インプリメンテーション:IC Compiler
  • スタティックタイミングならびにシグナル・インテグリティのサインオフ解析:PrimeTime、PrimeTime SI、PrimeTime VX
  • パワーネットワーク・サインオフ解析:PrimeRail
  • フルチップ・パワー解析:PrimeTime PX
  • RC抽出:Star-RCXT
  • フィジカル検証:Hercules PVS
  • テストパターン自動生成:TetraMAX
  • 歩留まり解析:PrimeYield

また、シノプシスのプロフェッショナル・サービスは、TSMCリファレンス設計フロー 8.0でのチップ・インプリメンテーションならびにフローの適用に関して、技術的専門知識を提供できる。さらにシノプシスは、DesignWareライブラリでTSMCライブラリも提供している。

シノプシスについて
Synopsys, Inc. は、IC設計向け電子設計自動化ソフトウェア(EDAツール)の世界的リーダーである。複雑なシステムオンチップ開発を実現する、最先端技術を用いたIC設計プラットフォームと検証プラットフォーム、および製造ソフトウェアを世界中のエレクトロニクス市場向けに提供している。また、顧客の設計プロセスを簡素化し、その製品開発期間を短縮するために、設計資産(IP)やデザイン・コンサルティング・サービスを提供している。カリフォルニア州マウンテンビューに本社を置き、事業所は北米、ヨーロッパ、日本、アジアなど60ヶ所。詳細な情報は、http://www.synopsys.co.jp より入手可能。

# # #

Synopsys、Design Compiler、DesignWare、Discovery、Galaxy、Hercules、HSIM、HSPICE、JupiterXT、Power Compiler、PrimeTime、Star-RCXT、TetraMAX、VCSは、Synopsys, Inc.の登録商標または商標です。
その他の商標や登録商標は、それぞれの所有者の知的財産です。

<お問い合わせ先>

日本シノプシス株式会社 フィールド・マーケティング・グループ 藤井 浩充
TEL: 03-5746-1780    FAX: 03-5746-1781