Industry Leading Productivity

IC Validatorは、包括的な機能をご提供する高性能フィジカル検証サインオフ・ソリューションです。現在主流のプロセスから最先端プロセスに至るまでの全てのプロセス・テクノロジで、設計者の生産性を向上させます。IC Validatorの分散処理の拡張性は業界最高で、4,000以上ものCPUコア上でジョブの分散実行が可能です。数十トランジスタのサポート、新デザイン・ルール・チェック(DRC)の即時サポート、レイアウトvsスケマティック(LVS)、短時間でのダミー・メタルフィル挿入などで、レチクル限界に近い業界最大規模のチップを実現してきました。

IC Validatorは、シノプシスのFusion Design Platformを構成するRTL-to-GDSIIソリューション Fusion Compiler™や配置配線ソリューション IC Compiler® IIによるインプリメンテーション工程と緊密に協調したフィジカル検証を実行します。高度な統合環境を実現するこのFusionテクノロジにより、インプリメンテーション実行環境下でサインオフ品質の製造性解析や修正自動化が可能となるため、製造性を考慮したデザイン収束にかかる期間を短縮することができます。

icv-cloud-demo-thumbnail-2.jpg

最先端プロセス・ノードでのフィジカル検証サインオフを可能にするIC Validatorの革新的テクノロジ

Explorer DRCやLive DRC、拡張性/柔軟性の高いコンピューティング機能などのIC Validatorの最新テクノロジにより、テープアウトまでにかかる期間を1/2に短縮します。

IC Validator最新情報

デモ

icv-drc-cloud-demo-thumbnail.jpg
explorer-drc-demo-thumbnail.jpg

お客様各社によるIC Validator活用事例

toshiba-icv-css-thumbnail.jpg

Toshiba

Faster DRC Closure With IC Validator

barefoot-ntwks-icv-css-thumbnail.jpg

Barefoot Networks

IC Validator Physical Signoff on AWS Cloud

nvidia-icv-css-thumbnail.jpg

Nvidia

IC Validator for Physical Signoff of Full-Reticle GPU Designs