PrimeTimeスタティック・タイミング解析

<br>PrimeTime®スイートは、高速でメモリ効率の高いスケーラビリティとマルチコア・コンピューティング、分散型のマルチシナリオ解析、およびPOCVとばらつきを考慮したモデリングを使用したECO修正を提供します。<br><br>シノプシスのPrimeTimeスタティック・タイミング解析ツールは、タイミング、シグナル・インテグリティ、パワー、ばらつきを考慮した解析を統合した単一の環境で行える、信頼性の高い、業界標準のサインオフ・ソリューションです。

ゴールデン・サインオフ・
ソリューション

,PrimeTime®スイートは、高速でメモリ効率の高いスケーラビリティとマルチコア・コンピューティング、分散型のマルチシナリオ解析、およびPOCVとばらつきを考慮したモデリングを使用したECO修正を提供します。,,シノプシスのPrimeTimeスタティック・タイミング解析ツールは、タイミング、シグナル・インテグリティ、パワー、ばらつきを考慮した解析を統合した単一の環境で行える、信頼性の高い、業界標準のサインオフ・ソリューションです。

PrimeSim ™ HSPICE®レベルの高精度サインオフ解析でテープアウト前に問題を特定し、リスク軽減、設計の整合性確保、設計コストの削減を支援します。PrimeTimeは業界標準ソリューションであり、高い予測性と精度により初回製造の成功を確実にしながら、大規模、小規模設計を問わず設計サイクルを短縮して開発スケジュールの貴重な時間を節減し、チームの生産性を向上させます。分散型解析、スケーラブルなアーキテクチャ、階層的手法により、考え得る最大のチップに対応する拡張性を備えたソリューションです。

PrimeTimeスイートには以下が含まれます。

PrimeTime SI

  • スタティック・タイミング解析の中核
  • マルチモード・マルチコーナー(MMMC)解析
  • クロストーク遅延およびシグナル・インテグリティ解析
  • 制約(SDC)の整合性チェック
  • 階層解析
  • 高機能オンチップ・バリエーション(AOCV)

PrimeTime ADV

  • タイミング、DRCおよびパワー・リカバリのためのフィジカル・アウェアECOガイダンス
  • パラメトリック・オンチップ・バリエーション(POCV)
  • 分散型の階層解析
  • ディレートベースのマルチ入力スイッチングをサポート

PrimeTime ADVP

  • ワイヤー、ビアのばらつき、レイアウト近接効果
  • 強化された統計モデル(Moments)
  • 先進のマルチ入力スイッチングをサポート
  • マシンラーニング・ベースのパワーリカバリとPBA
  • DVFSによる多電源同時解析
  • 6/5nmルールをサポートする配線可能性を考慮したECO