Tool Demos

PrimeTime Cross-Clocking Reporting

PrimeTime Cross-Clocking Reporting
Runtime: 4:56 min.  

 

PrimeTime Physically-aware ECO with On-route Buffering

PrimeTime Physically-aware ECO with On-route Buffering
Runtime: 4:14 min.  

 

Access PrimeTime GCA Features During Timing Analysis

Learn how PrimeTime GCA can be used to analyze constraint errors during timing analysis
Runtime: 2:20 min.  

 

Fixing ECOs with PrimeTime

Learn how PrimeTime ECO can save you weeks of effort in timing closure with multi-scenario ECO fixing, and how PrimeTime can reduce the complexity of multi-scenario analysis by providing instant visibility to all scenarios in a single view.
Runtime: 7:53 min.  

 

Faster Debug: Filter-driven Schematic Highlighting

Learn the most effective way to find objects in PrimeTime schematics.
Runtime: 2:24 min.  

 

Faster Debug: The PrimeTime Path Analyzer

See how the Path Analyzer can be used to quickly review and categorize large numbers of timing paths.
Runtime: 3:39 min.  

 

Faster Debug: Selective Schematic Abstraction

Learn how to easily reduce complexity and accelerate debug with the PrimeTime schematic.
Runtime: 2:05 min.  

 

Simultaneous Multi-Voltage Aware Timing Analysis

Understand how PrimeTime Simultaneous Multi-Voltage Aware (SMVA) Analysis helps you avoid the accuracy and runtime compromises normally associated with timing signoff of multi-voltage designs.
Runtime: 10:41 min.  
 

Debugging Clock Problems with PrimeTime SI

Explore the options available in PrimeTime SI to identify and debug clock issues that can prevent timing closure.
Runtime: 8:36 min.