Fusion Compiler

RTL-to-GDSIIデジタル・インプリメンテーション・ソリューションが一つのツールに

Fusion Compilerは、新時代のデジタル・デザイン・インプリメンテーションを実現する革新的なRTL-to-GDSII製品であり、予測性を高めつつ結果品質を新しい次元に引き上げ、業界最先端のデザインが直面する設計課題を解決します。RTL-to-GDSIIフローを通じて各種テクノロジを共有するアーキテクチャにより、高い設計収束性を可能にし、結果品質を最大20%向上させる一方で、結果達成までの期間を1/2に短縮します。 

主な特長

  • 単一の統合データ・モデル・アーキテクチャにより比類なきデザイン・キャパシティ、スケーラビリティ、生産性を実現
  • 統合されたRTL-to-GDSII最適化エンジンが、性能、消費電力、面積の結果をさらに向上させるための新しい余地を生む
  • 内蔵されたサインオフ品質のタイミング、寄生抽出、電力解析エンジンにより、イタレーションの回数を削減
  • マルチスレッディングおよび分散処理テクノロジを用いた徹底した並列化により、スループットが最大限に向上
  • FinFET、全周ゲート型FET、マルチパターニングを考慮した、大手ファウンドリで認証済みインプリメンテーション