最先端のチップ設計にはエラーを許容する余地はなく、製造の成功にはデザイン・サインオフを保証するツールを選択することが必要です。シノプシスは、お客様からすでに信頼を得ている業界標準のゴールデンサインオフ基盤に基づいた、広範囲で統合されたデザイン解析、およびサインオフの製品群を提供します。最先端プロセスノードのチップ設計の複雑さ、規模、新たな要件など、日々更新される問題に対応し、シノプシスはデザイン・サインオフのイノベーションにおいて業界をリードし続けています。

設計の収束を加速

シノプシスのデザイン解析とサインオフ・ソリューションには、スタティックタイミング解析、高度なシグナルインテグリティ、パワー解析とパワーインテグリティ、寄生素子抽出、ECO収束、トランジスタレベル解析、ライブラリ・キャラクタリゼーションを含む幅広い製品群があります。IC Compiler™IIおよびFusion Compilerとサインオフ・テクノロジをネイティブに統合することで、フィジカル設計の性能、消費電力、面積(PPA)のポテンシャルを最大限に、かつ確実に引き出すとともに、設計収束にかかる時間を短縮します。

最新ニュースとリソース

ソリューションの概要

タイミングとECOの収束

シノプシスのPrimeTime®ソリューションは、高速でメモリ効率の高いスケーラブルなマルチコア・スタティック・タイミング解析、分散型のマルチシナリオ解析、POCVおよびばらつきを考慮したモデリングを使用したECO修正を提供します。

PrimeECO™ソリューションは、単一のコックピットでサインオフ収束を達成する、業界初のサインオフ・ドリブンのECO収束ソリューションです。

Tweakerソリューションは、柔軟性の高いフロー制御と統合されたGUIを一つの環境に備えた包括的なECOプラットフォームです。

業界標準のPrimeTime STAを基盤にして構築されたPrimeShieldソリューションは、画期的なマシンラーニング・テクノロジで高速化した業界最速の設計堅牢性分析ソリューションです。

トランジスタレベルのサインオフ

NanoTimeは、CPUデータパス、組み込みメモリ、および複雑なAMS IPブロック用のトランジスタレベル設計のための業界標準のタイミング・サインオフ・ソリューションです。

また、シノプシスのPrimeTime®とのシームレスな統合により、ゲートレベルとトランジスタレベルの両方のブロックを含む設計のフルチップ解析が可能です。シノプシスのカスタム設計検証ソリューションには、回路シミュレーションCustomSim®とHSPICE、シンボリックシミュレーションESPが含まれていますが、NanoTimeは中でも重要なコンポーネントです。

パワー解析とパワー・インテグリティ収束

PrimePowerはRTLから始まり、インプリメンテーションのさまざまな段階、パワー・サインオフに至るまで、ブロック設計とフルチップ設計の消費電力を解析します。

RedHawk Analysis Fusionは、IC Compiler™IIおよびFusion Compiler™ソリューションで早期かつ包括的にインデザイン・パワーインテグリティの解析・修正を行うことができる機能であり、フィジカル・デザイン工程でサインオフ精度を実現します。

ライブラリ・キャラクタリゼーション

SiliconSmart®ソリューションは、スタンダード・セル、I/O、メモリに対して、先端ノードで実証済みの高速で高精度なライブラリ・キャラクタリゼーションを行います。

SiliconSmartの革新的なテクノロジは、内蔵された業界標準のリファレンスであるSPICEエンジンを活用してPrimeTimeスタティック・タイミング解析で使用される先進のLibertyモデルのキャラクタリゼーションを高速に行い、超低電圧FinFETプロセスがタイミングに与える影響などを正確に考慮します。

寄生素子のモデリングと抽出

StarRC™ソリューションは、先進のファウンドリ・ノードとデザイン・フローをサポートして業界標準のサインオフ寄生抽出を行い、シリコン精度の結果を提供します。

QuickCap® NXソリューションは、先端ノードのプロセス・モデリングと高精度ライブラリ・キャラクタリゼーションのための業界標準の抽出リファレンス・ツールです。