NanoTime

トランジスタ・レベルのスタティック・サインオフ解析

NanoTimeは主要なファウンドリに認定された、トランジスタレベル設計のためのゴールデン・サインオフ・ソリューションです。CPUデータパス、レジスタ・ファイル、組み込みメモリ、複雑なアナログ/ミックスドシグナルのIPブロックなどの難解なカスタム設計に対して、トランジスタレベルのスタティック・タイミング解析、シグナル・インテグリティ解析、プロセスばらつき解析を行います。設計にFinFETなどの先進プロセス・テクノロジを採用した場合、シリコン不具合のコストは甚大なため、サインオフ解析では設計に致命的なタイミングやノイズの問題がないことを確認することが重要です。NanoTimeはダイナミック・シミュレーションを補完し、すべての内部タイミングとノイズの相互作用を徹底的にチェックします。また、ブロックレベルのタイミング・モデルを作成してPrimeTime®と共有することで、フルチップのサインオフを実現します。NanoTimeはシノプシスのカスタム・デザイン環境、Custom Compiler®およびStarRC® Custom Ultra+とシームレスに統合し、レイアウト寄生素子を読み取ります。また、シノプシスのシミュレータ、HSPICEおよびFineSimを活用して、最高レベルの精度を実現することもできます。

NanoTimeで最大クリティカルパスを回路図に表示

NanoTimeは、抽出したタイミング・モデルと対応するパスを回路図に表示します。

NanoTimeの主な機能

  • データパス、レジスタ・ファイル、組み込みメモリ、アナログ/ミックスドシグナル・ブロックなどの複雑なカスタム設計に対して、トランジスタレベルのタイミング/シグナル・インテグリティ/プロセスばらつき解析をコンカレントに実行
  • TSMC、Samsung、UMC、Global Foundries、STなどのファウンドリによる認定
  • HSPICEとの精度比較は、平均3%/3ps以内
  • StarRC®またはサードパーティ製品で抽出された寄生素子(SPF、SPEF)のバック・アノテーションを含む数百万のトランジスタ容量
  • LVF、CCSタイミング、CCSノイズ・モデルなどのブロック・キャラクタリゼーション(.lib)
  • アレイ・シミュレーションと競合状態チェックを含むNanoTimeのメモリ・オプション
  • 混合レベルの設計解析(トランジスタ、セル、ブラックボックス(.lib))をサポート
  • マルチ入力スイッチング(MIS)と本格的な差動信号解析の両方をサポート
  • HSPICEやFineSim回路シミュレータを使用したダイナミック・クロックツリー解析
  • PrimeTimeと共通のインターフェイス(Tclコマンド、SDC、パスレポート)
  • ノイズグリッチの機能的故障解析
  • Custom Compilerとの統合による生産性の向上
  • ISO 26262認証取得済み