數小時內快速在十億閘級(Billion-Gate)設計中獲得可行的功耗驗證結果

在每顆晶片中,功耗始終是進行中且待解決的問題,長期以來,設計工程師必須同時仰賴經驗與知識來解決這個難題,一般必須等到矽晶片完成後,才能以實際軟體工作量執行功耗分析。然而,如此一來為時已晚,因為解決矽後(post-silicon)功耗變成昂貴且耗時。在這篇文章中,我將先說明如何先期在數小時內快速獲得十億閘級(billion-gate)設計之可行功耗驗證結果。藉由這項功能,可發現峰值功率(peak power)關鍵區域與時間範圍,從而優化RTL和軟體。

進行矽後功耗分析將導致遺失關鍵高功耗情況之風險,因而可能讓成本增加與出現產品採用相關疑問。功耗造成的問題有哪些?如果設計沒有考量到所要的功耗目標,客戶可能就會選擇與其他晶片供應商合作。或者,系統設計人員可能被迫降低晶片效能,以保持目標功耗,然而這樣的權衡卻不利於那些仰賴快速運算效能的應用。接下來,讓我們仔細研究需要精準功耗分析的SoC應用領域。

圖形處理器(GPU)

傳統圖形處理器應用是大家相對熟悉的,然而其在功耗分析上並沒有比較容易。以筆記型電腦的圖形處理器為例,它可在一段時間內在某些測量點上執行功耗分析。不過,隨著可能進行多達上千萬個時脈周期(clock cycles)時,這種方式顯然並不實用,這也是設計人員傳統上必須仰賴最佳功耗預估值的原因。

人工智慧(AI)

在人工智慧(AI)晶片中,應用程序與用於AI應用和架構的軟體堆疊(software stack)都是全新領域,在功耗方面有著更多的挑戰。然而,優化 AI應用於功耗上仍有相當大的潛力。畢竟,能夠讓人工智慧晶片設計人員宣揚的優勢便是功耗效率與快速運算效能。

5G

另一關鍵功耗應用就是5G,其具有高效能與低延遲性。5G應用涉及許多並行處理與高頻問題,然而可用的功率有限,因此必須經過最佳化,確保其能有效率地運行,而這點在無線耳機晶片上體現得尤為明顯。

數據中心

數據中心,尤其超大規模的數據中心,需要以高速、高能效的晶片進行打造,以達到系統流通量(throughput)效能最大化。由於數十億閘級與複雜的軟體工作負載,數據中心的SoC必須能滿足特殊驗證與軟體開發等要求。

行動裝置

像智慧型手機這類的行動裝置,考量其小型化及對較長電池壽命的需求,無法使用太過耗電的晶片。然而,隨著行動裝置工作負載越來越複雜,甚至高功耗的圖形處理器也必須能夠提供滿足這些工作負載的功率效能。

利用快速功耗模擬器解決功耗配置挑戰

符合動態功耗要求變得越來越棘手,晶片設計人員通常將功耗視為首要的驗證挑戰,其中動態功耗驗證需要找到峰值功率或最大功率。然而,實際軟體工作量是造成關鍵功耗的主因。透過模擬雖然能識別出超出和低於功率預算的峰值功率,但在十億閘級設計上,因為以模擬方式考量的範圍太小,所以僅能靠運氣找到真正的關鍵功耗。因此,有一套簽核工具(signoff tool)將提供精準功耗測量,但若用於錯誤的時間範圍上,設計人員將無法決定哪個範圍有最高功耗。

確認低功耗錯誤需要執行軟體工作量,進行小測試並不會讓實際工作量造成的功耗錯誤出現,因而需要的是:

  • 在矽前(pre-silicon)測試下之真正韌體與操作業系統
  • 可模擬驗證百萬或十億週期之功耗
  • 無法在實際矽晶上進行的矽前功耗除錯驗證

高速模擬協助設計團隊能夠在設計初期進行功耗驗證,讓功耗錯誤與SoC功耗目標遺失之風險最小化。的確,快速功耗模擬器就是軟硬體功耗驗證問題的解方,可以在較廣大範圍提供較佳精準度。理想的模擬器能夠每天反覆多次執行大型實際工作量設計,如此一來,晶片設計人員就能事先洞悉其設計功耗概況。

加速功耗驗證

考量數十億閘級SoC工作量,新思科技發表其最新款Synopsys ZeBu® Empower軟硬體功耗驗證模擬系統。由於具有最大運算效能,因此ZeBu Empower每天能夠重複進行多次模擬,數小時內即可提供可行的結果。依據獲得的功耗數據,軟硬體設計人員就能提早確認要改善動態與漏洩功率之區域。此外,ZeBu Empower採用ZeBu Server快速模擬硬體技術,以提供較短的整備時間。

ZeBu Empower也能在Synopsys PrimePower engine輸入關鍵功耗區塊與時間範圍,以加速RTL功耗分析與閘極等級功耗簽核。ZeBu Empower與PrimePower兩者均屬Synopsys軟體驅動(software-driven)低功耗解決方案的一部分;如下圖所示,低功耗解決方案提供端對端流程與方法,從架構分析到RTL功耗分析,再到SoC功耗分析與優化。

Synopsys軟體驅動低功耗解決方案針對減少IC整體動靜態功耗而設計。

總結

在功耗、性能與面積(PPA)方程式之中,功耗可能是最具挑戰性;伴隨數十億閘極設計時,達到精準功耗之複雜性也隨之增加。不過,藉由新思科技的快速功耗模擬解決方案,設計團隊可以找出峰值功耗的關鍵區域與時間範圍,以將RTL與其軟體最佳化。運用新思科技完整低功耗流程之優勢,設計人員因而獲得能協助他們達到PPA目標的工具。鑑於GPU,AI,5G,數據中心和移動設備等應用程序的繁重工作量和性能要求,任何能夠提供更準確功耗概況的解決方案,都應在含在PPA工具包中讓設計工程師可任意使用。