運用虛擬金屬填充(Virtual Metal Fill)預測高層級網路的影響

英文原文:Using Virtual Metal Fill To Predict The Impact Of High Level Nets

2023年9月14日於《Semiconductor Engineering》刊登

VMF 提供之於傳統金屬板區域法更精準的萃取和時序結果

近期的部落格文章探討在晶片佈局上執行 RC 萃取時,運用虛擬金屬填充 (virtual metal fill, VMF) 可預測真實金屬填充的效果。此技術使得靜態時序分析 (static timing analysis, STA) 與填充後的最終結果密切相關,因此無須在佈局靜態時序分析迴路期間花費時間來執行實際的金屬填充。每次佈局迴路迭代(iteration)執行 VMF 的速度也足夠快速,因此對專案排程或上市時程 (time to market, TTM)不會產生負面影響。

上一篇文章提到的 VMF 流程對於預測晶片設計單一區塊內金屬填充的效果十分有效。當然,所有區塊最終都必須透過晶片等級的最高層級訊號互連。為了避免干擾已佈局與繞線的固化模塊(hardened block)或邏輯模組(macro),尤其是在經過多次實例化(instantiated)後,高層級網路會使用位於區塊內層上的附加金屬層。

這些互連有時稱作跨層級(over the hierarchy, OTH) 網路,由於該網路與較低層級訊號的電容耦合,因而影響區塊內時序。此外,較高層級的網路也需要進行金屬填充以確保均勻的密度,以便透過化學機械平坦化 (Chemical Mechanical Polishing, CMP)將晶圓平坦化。這些金屬填充多邊形和功能邏輯也會在區塊內產生電容耦合,進而影響 STA 結果。

在區塊層級單元上使用跨層級 (OTH) 網路的全晶片設計。

幸運的是,區塊層級的金屬填充概念,可以在進行全晶片佈局與繞線或執行真實金屬填充之前,即預測跨層級(over the hierarchy; OTH) 網路的影響。相較於傳統金屬區域填充(metal sheet over area, MSOA)使用單一接地矩形覆蓋區塊來模擬電容耦合的方法,VMF 更容易運用,且能提供更精準的結果。

金屬區域填充法很大程度上是一項手動工作,設計人員必須在執行 RC 萃取前定義較高的金屬層和金屬板。這需要存取設計資料庫,才能判定足以覆蓋正在進行分析的區塊所需的尺寸。適用於OTH網路的VMF就如同在區塊內一樣,是一個自動化流程,設計人員在萃取期間只須執行最少的設定作業。

金屬區域填充法的另一個問題在於,它可能會在設計中的金屬板和網路之間造成短路。由於此問題違反了設計規則,因此不可能在模型上執行無瑕(clean)的設計規則檢查 (design rule check, DRC)。VMF 遵循與區塊內相同的 OTH 網路設計規則,因此就分離(separation)、金屬密度和方向規則而言,其產生的結果會符合設計規則檢查。

多層級(OTH)填充多邊形的區塊層級設計。

適用於OTH網路的VMF除了更易於使用之外,也提供比金屬板區域法更精準的萃取和時序結果。VMF使用的模型會考量在更高金屬層級上進行路徑填充(track fill),而非僅在簡化模型(sheet model)填充。這種更實際可行的模型,能讓在區塊層級執行的 STA、與全晶片佈局繞線和所有層級真實金屬填充後的簽核 STA,可以直接產生更佳的關聯性。

相較於最終數值,運用MSOA的OTH 網路預測電容往往比較樂觀。這可能會在 STA 運行期間導致令人不愉快的意外,比如說某些路徑不符合預期時序等。當發生這樣的情況時,設計人員將無法在該路徑修復前進行簽核,而浪費寶貴的專案資源,並延遲上市時程(TTM)。

相較之下,使用VMF模型的OTH網路電容精準度,落在分層設計情境中的實際數值 3% 範圍以內。這意味著最終萃取和STA結果將與 VMF 運行密切相關,因此可以在可預測的情況下快速地執行簽核。如果需要的話,還可以針對個別層級設定 VMF 特性,進一步提高模型精準度。雖然使用預設值執行VMF十分容易,但是使用者可以在需要時進行精密控制(fine grain control)。

此外,區塊層級時序工程師希望以更詳細的方式,識別附近和分層關鍵訊號網路的耦合電容作用,以便在最高層級設計可用之前瞭解該作用對較低層級區塊的時序影響。而對此場景進行建模的傳統方法,則需要對設計進行手動修改。

利用 VMF 和 OTH 技術,區塊層級工程師可以定義虛擬網路(虛擬攻擊者)的驅動程式和負載單元(load cell)種類,以將交叉耦合電容萃取至區塊層級網路。虛擬網路可以與寄生網表(parasitic netlist)中的 VMF 產生明顯區別,讓下游 STA 工具能夠識別虛擬攻擊者的耦合作用。而虛擬侵略者的影響,也可透過時序報告中的更多負時序餘量(negative slack)輕鬆辨識。

STA 報告比較VMF 攻擊網路所導致的負時序餘量增加。

新思科技 StarRC 寄生萃取解決方案結合新思科技PrimeTime 靜態時序分析解決方案,提供適用於 OTH 網路和區塊內網路的 VMF 實作。PrimeTime STA 解決方案可針對設定和支配檢查的交叉耦合進行精準的模擬,讓區塊層級單元能夠在與最高層級設計整合前,以更高精準度進行簽核。在設定和使用上都十分簡單,既不需要手動定義金屬板,也不需要透過使用者建立容易出錯的 FILL 參數檔。

新思科技 StarRC 可支援由設計人員或晶圓廠執行的金屬填充,且適用於純數位流程、客製化/類比流程和混合訊號設計。此款VMF 解決方案可以與第三方佈局與繞線工具等任何設計實作平台一起使用,並支援業界標準的 STA 解決方案,同時滿足速度和精準度的需求,進而為傳統流程的限制找到解方。

在個別模組區塊上,尤其對於具有多重實例化邏輯模組單元的設計,模擬高頻率、高層級繞線的交叉耦合影響至關重要。而現在,晶片設計人員可以採用新思科技 StarRC VMF,解決繁複流程和上市時程延遲的實際問題。隨著時間推移,這個解決方案將更臻完善,設計人員也能夠對快速、精準的解決方案充滿信心。