PrimeECO

設計収束システム

PrimeECO™ is the industry’s first signoff-driven ECO closure solution that achieves signoff closure in a single cockpit. It enables 5X faster single-machine design closure by uniquely combining the efficiency to manage unlimited signoff scenario views with the scalability of incrementally enabled, integrated physical implementation and signoff capabilities, eliminating the costly iteration between implementation and signoff.

Single-Machine Multi-Scenario Closure with Hybrid Timing View

The PrimeECO solution features an innovative, machine-learning driven Hybrid Timing View technology that addresses the increasing signoff scenarios by predicting optimal trade-offs between required compute resource and desired timing accuracy. The Hybrid Timing View deploys PrimeTime® signoff engine for real-time updates on accuracy-critical scenarios, while ensuring complete visibility on coverage-critical scenarios through efficient static views. The outstanding efficiency of Hybrid Timing View enables thousands of timing scenarios to be loaded onto a single box, eliminating the need for large number of compute resources typically required for signoff coverage. 

Common Data Model with Fusion Design Platform

To further eliminate design iterations, the PrimeECO solution is architected on the Synopsys Fusion Design™ Platform, the world’s first artificial-intelligence (AI) enhanced, cloud-ready design platform, with direct access to incrementally enabled placement, routing, extraction, physical verification and signoff technologies from Synopsys’ market-leading portfolio of solutions, including IC Compiler™ II, Fusion Compiler™, IC Validator, StarRC™, PrimeTime, PrimePower, and PrimeShield. Within this single-environment design closure cockpit, not only is every change fully implemented and validated, but it also creates new opportunities for placement, routing, and timing co-optimization to achieve power, performance and area (PPA) results previously impossible in traditional design closure flows.

Unique Graphical User Interface with Exclusive Signoff Timing Overlay

The PrimeECO solution is the industry’s only design closure solution with access to PrimeTime golden signoff results. An intuitive cockpit provides a unique graphical user interface to overlay Hybrid Timing View on design visualization for final touch-ups, including an open database interface available to custom scripts for user-driven optimization. The exclusive PrimeECO solution is available to all designers innovating on the Fusion Design Platform as well as industry-standard DEF databases.