DTCO for Memory Design

Meet PPA Demands in a Hyper-Convergent Era with Memory DTCO

Design technology co-optimization (DTCO), a methodology to enable fast and efficient technology exploration, design PPA assessments, and design closure can be applied to memory technology development to accelerate the overall process making it faster and easier to adopt new silicon technology with predictable results. 

Synopsys offers the following DTCO solutions:

Technology pathfinding with a virtual PDK flow

Simulation based DTCO

TCAD-SPICE fusion for fast technology exploration and design enablement

TCAD-SPICE Fusion for Fast Technology Exploration

Faster design closure with lithography-aware custom design

TCAD solutions for Memory design