Deliver Better, Faster, Cheaper Semiconductors with DSO.ai

With the early 2020 launch of Synopsys DSO.ai™ (Design Space Optimization AI), Synopsys ushered in a new era of breakthrough chip design to deliver better, faster, and cheaper semiconductors. The industry’s first autonomous artificial intelligence (AI) application for chip design, DSO.ai searches for optimization targets in very large solution spaces of chip design, utilizing reinforcement learning to enhance power, performance, and area. By massively scaling exploration of design workflow options, Synopsys Fusion Compiler™ and IC Compiler™ II, while automating less consequential decisions, the award-winning DSO.ai drives higher engineering productivity and swiftly delivers results that you could previously only imagine. 

Key Benefits

results icons

Unbeatable PPA Results

Every Project, Every Time – Explore a larger scale of choices in chip design workflows

fastest time to design

Fastest Time to Design

Autonomously converge to tapeout-ready solutions

productivity

AI-Grade Productivity

Enable design teams to scale and operate at expert levels

Features

dso.ai artificial intelligence

Autonomous RTL-to-GDSII full flow optimization

By automating the optimization process, Synopsys DSO.ai reduces design time, improves design quality, and helps to unlock the full PPA potential across both logical and physical domains. This means that designers can achieve higher performance, lower power consumption, and smaller chip area with less manual effort. Overall, the use of autonomous RTL-to-GDSII full flow optimization helps to improve the efficiency and effectiveness of the chip design process.

breakthrough AI engine

Breakthrough AI Engines

One of the main advantages is Synopsys DSO.ai's breakthrough reinforcement learning engine that can intelligently learn during optimization and narrow down the design space. This enables efficient optimization of trillions of design recipes, resulting in better results, faster time-to-results, and reduced compute costs in design space optimization. 

multi-objective design space optimization

Multi-Objective Design Space Optimization

This means that Synopsys DSO.ai can optimize designs for multiple objectives simultaneously, such as performance, power consumption, and area. By considering these objectives together, designers can achieve the best possible trade-off between them, resulting in more efficient and effective designs. This feature allows designers to quickly explore a wide range of design options and select the best one for their specific needs, saving them time and resources.

News and Blogs

DSO.ai Infographic

Resources

Related AI Chip Design Tools

Your Innovation, Your Community

View the latest Synopsys DSO.ai customer presentations from SNUG. A SolvNetPlus account is required. 

Elevate Your Chip Design and Development with AI