Explore Comprehensively, Implement Convergently, Signoff Confidently ​

“Fusion (融合)” – 是新思科技Fusion Design Platform™ 的主要设计精神, 即将单一的工具或技术结合在一起,创造出比各部分之和更伟大的东西。 该平台实现了从全系统架构探索到现场优化、可跨越从设计开始的完整的芯片生命周期,配备先进的引擎,以黄金级签核分析和高级分析为后盾,并通过优化的方式提供超级收敛和结果驱动的设计系统。Fusion Design Platform为设计师缩短在“做”设计上的时间,从而投入更多精力于“创新”!


主要优势

优化PPA
超越你的预期。在不同工艺节点和技术上,依靠业内领先的引擎无缝融合进一步扩大节点优势
超快的获得结果时间
加速你的创新。超大规模吞吐量,以匹配 SoC、多裸片系统和整个芯片系统不断增长的系统复杂性。
卓越的全面性
使您自己的方式去设计。在整个设计、验证、签核乃至芯片生命周期中尽可能实现产品的差异化。

探索行业领先的平台

创新是打破界限,挑战极限,革新取得成果的方式。Fusion Design Platform™以可扩展、无边界的方式反映了我们对创新的理解,并以超高效、超收敛和超高成本效益的方式加速设计过程。 

 

Fusion Design Platform将业界领先的产品涵盖测试、验证、综合、布局布线以及签核,融合到统一的数据模型和通用的优化基础架构中,使先进技术在整个设计模型中的独特移动和部署成为可能,从而更快地收敛到优化的流程,降低系统性余量,这意味着设计团队将有更多机会在更短的时间内实现设计目标。 

 

\前沿的机器学习技术和原生的云就绪的可扩展性给Fusion Design Platform带来独特的优势,可以满足HPC、数据中心、汽车、5G/移动和物联网等细分市场不断升级的需求,最终帮助实现和加速下一波半导体行业创新浪潮。 

POST SILICON DIGITAL DESIGN SYSTEM DESIGN FUSION DESIGN PLATFORM Monitor IP 通过新思科技 的 IP 解决方案, 监测设备制造、工艺可变性和 现场实时变化条件 SiliconDash 海量半导体制造和测试数据分析 Yield Explorer 以数据为本的良率管理 PrimeTime 时序和信号完整性分析和 签核的业内黄金标准 StarRC 寄生提取的业内黄金标准 PrimePower RTL-to-signoff 功耗分析的黄金标准 PrimeLib 准确全面的库表征,以 确保成功的数字实现 Tweaker ECO 完整的数字设计 ECO 平台 IC Validator NXT 下一代物理验证解决方案 Formality ECO 执行功能性 ECO 的全新方法 RedHawk Analysis Fusion 独特的的设计内电源完整性分析和修复 PrimeShield 设计鲁棒性分析以及针对可恢复性、 功耗和性能的修正 Formality 高效完成设计和验证,实现优化的、 可验证的结果质量 / , Fusion Compiler 完全集成的 RTL-to-GDSII 设计系统 IC Compiler II 业内领先的布局布线解决方案 Design Compiler NXT 下一代 Design Compiler RTL Architect 全面的、物理感知的 RTL 分析、探索和优化,并与 业内领先的签核技术集成 TestMAX 用于先进 SoC 设计的创新测试和诊断功能 Signoff Fusion 通过新思科技领先的Fusion 技术更快地 收敛到理想PPA Test Fusion 通过独特的 Fusion 技术更快地收敛到 PPA 3DIC Compiler 先进多裸晶系统设计和集成的统一平台 机器学习技术让自主优化设计工具 成为可能 在云端通过可扩展的 RTL-to-Signoff 流程实现理想的 PPA 移动点击鼠标查看更多详情

Fusion Design Platform的新动态

探索近期新闻、博客、网络研讨会和其他有用资源

探索整个平台

您的创新,您的社区

SolvNetPlus

探索 新思科技用户支持社区!需要登录。

SNUG

消除界限,与全球社区建立联系。