Fusion Compiler

联合综合与布局布线技术的力量

Fusion Complier, 一个创新的 RTL-to-GDSII 产品,将赋能数字设计实现的新时代,提供新级别的可预测的结果质量,以应对业界前沿设计带来的挑战。它的统一架构在整个 RTL-to-GDSII 流程中能够共享技术,达成一个高度融合的系统,能够将 QoR提高 20%,并将 TTR 缩短 2 倍。

优点

  • 全面的 RTL-to-GDSII 设计系统可将性能、功耗和面积提高 20%,并将成果时间缩短2倍
  • 融合数据模型架构,提供无与伦比的容量、扩展性和生产率
  • 统一的物理综合优化,以获得理想的成果质量
  • 支持快速 DRC 融合和设计收敛的通用布局和 2D 合法化引擎
  • 根据布线驱使的估测收敛和设计完成,以实现准确的收敛估计和预测
  • 完整的流量功耗优化,包括独特的功耗驱动的再综合和 knee-based 优化 ( "knee" 意指通常在功耗/时序曲线需要做权衡考量的地方)
  • 物理感知的综合和先进的 CTS,以驱动理想频率
  • 经领先铸造工艺认证的 FinFET 和可识别多重图形的设计
  • Signoff 时序、寄生参数提取和功耗分析消除设计迭代
  • 从综合到后期布线的高级区域恢复算法,以提高利用率
  • 多线程和分布式处理技术的普遍并行化,可实现更大吞吐量