物理实现

Fusion Compiler 是第一个实现高度融合,全流程数字化实现的 RTL-to-GDSII 解决方案。 Fusion Compiler 基于单一,高度可扩展的数据模型构建,配有用于时序、提取、综合、布局布线、合法化、时钟拓扑创建的通用引擎。

全新 RTL-to-GDSII 解决方案

Fusion Compiler 是第一个实现高度融合,全流程数字化实现的 RTL-to-GDSII 解决方案。 Fusion Compiler 基于单一,高度可扩展的数据模型构建,配有用于时序、提取、综合、布局布线、合法化、时钟拓扑创建的通用引擎。

交付最好和最可预测的成果质量

这些同类最佳的引擎,构成了一个统一的优化框架,它是 Fusion Compiler 全流程收敛,拥有领先的成果质量(QoR)和优良的结果时间的关键推动因素。通过将创新的、高容量的综合,与 IC Compiler II 业界领先的布局布线技术融合到单一数据模型中,Fusion Compiler 提供把可预测的QoR 带入新的级别,以解决业界最先进设计中存在的挑战。

全面的物理实现解决方案

IC Compiler II 为 Fusion Design Platform 中的物理实现,提供了业界领先、且经过产品化验证的解决方案。IC Compiler II 交付业界最佳的成果质量的同时,可实现前所未有的生产率,并支持跨不同工艺节点的设计。IC Compiler II 为专门应对高性能、功耗、面积和上市时间的压力,提供了创新的解决方案,针对扁平化或层次化设计、早期设计探索、布局布线优化、时钟树综合、生产合规性和 signoff 闭合等等问题。 IC Compiler II 是一个完整的从 netlist 到 GDSII 布局布线系统,包含了为处理先进节点工艺设计的早期设计探索和原型设计、设计计划、模块实现和最后的芯片装配。