RTL设计与综合

为先进节点准备的下一代RTL设计

RTL 综合,先进工艺节点,RTL-to-GDSII

Design Compiler 系列产品通过其完整的 RTL 综合和测试解决方案,提升生产效率。Design Compiler NXT 是 RTL 综合产品中,Design Compiler 系列中的全新创新产品,并进一步扩展了 Design Compiler Graphical 的市场领先的综合地位。Design Compiler NXT 采用了全新的综合创新技术,可以显著缩短运营时间,提高结果质量,并且与 IC Compiler II 有着极其密切的 RC 和时序的关联性。

Design Compiler NXT 使用了先进的优化、与 IC Compiler II 布局布线共享的技术,从而在 5nm 及以上的先进工艺节点上提供理想的结果质量。此外,它还使 RTL 设计人员能够预测、可视化和缓解线路拥塞,并在物理实现之前执行版图规划上的探索。

Design Compiler系列还包括: 用于实现高质量生产测试和合格芯片的快捷且经济的途径的 Synthesis-based 测试解决方案; 用于低功耗综合和优化的 Power Compiler;用于等价性检查的 Formality;以及有着无比丰富的可综合 IP 的 DesignWare 库

Fusion Compiler 是 Silicon Design 系列产品的新成员。 Fusion Compiler 领先实现高度收敛,全流程数字实现的 RTL-to-GDSII 解决方案。 Fusion Compiler 根据单一,高度可扩展的数据模型构建,包括用于时序、提取、综合、布局、合法化、时钟拓扑创建和布线的通用引擎。这些性能卓越的引擎构成了一个统一的优化框架。这便是使 Fusion Compiler 达成全流程高度收敛、领先结果质量和成果时间的要素。