Power Compiler

Design Compiler 中的功耗优化

Power Compiler™ 自动实现 RTL 和门级功耗优化,并在 Design Compiler® 综合解决方案中实现时序、面积、功耗和测试的同时优化。它可运行先进的时钟门控和低功耗布局以降低动态功耗,并进行漏电功耗优化以降低待机功耗。Power Compiler 和 Design Compiler Graphical 一起使用可利用并行多角多模 (MCMM) 优化减少迭代,提供更快的结果生成速度。使用标准化的 IEEE 1801 统一功率格式 (UPF) 定义的电源意图,设计人员可以使用 Power Compiler 来实现先进的低功耗技术,例如:多电压、电源门控和状态保持。 

优势

  • 先进的时钟门控和低功耗布局,实现更低动态功耗
  • 漏电功耗优化,实现更低待机功耗
  • 并行多角多模优化,提供更快结果生成速度。
  • 自动实现 UPF 驱动的先进低功耗技术
针对低功耗综合和优化的全面解决方案

Design Compiler 中的完整、全面的功耗综合