DSO.aiで、より高性能な半導体を、より短期間、より低コストで開発

シノプシスは、2020年初頭にDSO.ai™(Design Space Optimization AI)を発表。より高性能な半導体が、より短期間、より低コストで開発可能となる新しい時代を切り拓きました。DSO.aiは、業界で初めて自律型AIをチップ設計ツールに応用したソリューションです。チップ・デザインの中に潜在している膨大な最適化スペースを自動探索して設計者を最適解に導き、強化学習により更なる消費電力/性能/面積の向上を実現します。DSO.aiは、シノプシスのFusion Compiler™とIC Compiler™ IIの設計ワークフロー・オプションの最適化探索範囲を大幅に拡張し、設計上の重要度の低い意思決定を自動化することで設計生産性を向上し、これまで想像だにしかなかった結果を非常に短時間でご提供します。

主なメリット

results icons

圧倒的なPPA結果

いかなるプロジェクトでも、いかなる場合でも –

チップ設計ワークフローに潜む最適化選択肢を

より広範囲に探索

fastest time to design

最短時間で設計目標を達成

テープアウトできる状態の最適解に

自律的に到達

productivity

AIグレードの高効率

設計チーム全体がエキスパート・レベルの

開発業務を展開可能に

機能

dso.ai artificial intelligence

RTL to GDSIIのフルフローで最適化ポイントを自律探索

DSO.aiは、最適化ポイント探索プロセスを自動化することで、設計期間を短縮し、設計品質を向上させ、論理および物理ドメインの両方でPPA改善余地のポテンシャルを最大限に引き出せるようアシストします。つまり、設計者の手作業を最小化する一方で、より高い性能、より低い消費電力、より小さなチップ面積を実現することができるのです。RTL to GDSIIのフルフローでの最適化ポイント自律探索により、チップ設計プロセス全体の効率と実効性が改善します。

breakthrough AI engine

画期的なAIエンジン

主なメリットの1つは、シノプシスDSO.aiに組み込まれている画期的な強化学習エンジンです。これにより、AIは最適化中にインテリジェントに学習し、最適化ターゲットとなるデザイン・スペースを絞り込んでいきます。これにより、何兆ものデザイン・レシピの中から最適化手段を効率的に抽出し、結果品質の向上、開発期間の短縮、開発コストの削減を実現します。

multi-objective design space optimization

設計空間の中を多目的に最適化

つまり、DSO.aiは、性能/消費電力/面積など、複数の目的に対して同時にデザインを最適化できるのです。これらの多面的な設計目標を一元的に考慮することで、設計者はこれらの目標間の最適なトレードオフを実現し、より効率的で効果的な設計を行うことができるようになります。この機能により設計者は、幅広い設計オプションを素早く探索し、特定のニーズに最も適した解を選択することができ、時間とリソースを節約することができます。

ニュース&ブログ

DSO.aiを活用した開発成果

関連情報

その他のAIベース設計ソリューション

AIでチップ設計を新たな次元に