芯课程

芯课程是新思科技面向开发者们推出的技术研讨分享课程,2020年6月首次开播,内容涵盖芯片设计、芯片验证、IP、软件质量与安全、光学设计等多种产品。

光学设计课程

新思科技的光学设计课程由光学解决方案事业部倾力推出,专注于运用先进的光学设计软件解决各类复杂光学应用问题,从软件使用技巧到面向行业应用的创新解决方案,让您在我们精心打造的课程中获得更多实际收益。

最新课程

芯课程 | Black Duck助力构建智能汽车可信软件

开播时间:2023年8月25日 | 可观看回放

汽车软件价值正在快速提升,据预测,到2030年汽车中软件的价值占比将达到30%。随着软件在汽车领域的深耕,软件的风险也随之增长,尤其是作为软件产品重要组成部份的开源软件,更会引入复杂的安全、合规、运维等风险。 本次芯课程,我们将以汽车领域软件风险作为切入点,与大家一起聊聊开源风险,并分享新思科技的软件组成分析产品——Black Duck及其针对开源软件风险的解决方案。该产品能够完整、及时地识别软件组成,分析开源软件的安全漏洞、找到合规风险、运维风险,并能够及时反馈给用户有价值的解决方案。

芯课程 | VC SpyGlass Dynamic CDC Jitter如何左移CDC验证

开播时间:2023年8月11日 | 可观看回放

本次芯课程将介绍VC SpyGlass Dynamic CDC Jitter流程。该流程提供了一种引入模拟的亚稳态影响的动态验证方案。基于对CDC的分析结果,VC SpyGlass可以产生包含设计中可能出现亚稳态的路径的数据文件,且可与VCS结合,在存在亚稳态的信号上随机引入亚稳态的影响,并在仿真结果中检查亚稳态的引入是否会导致测试用例的失败,从而高效准确地验证功能性CDC问题。

芯课程 | PHY IP如何助力开发者抢跑1.6T以太网新赛道?

开播时间:2023年8月4日 | 可观看回放

224G SerDes 设计已成为现实,通向 1.6T 的道路比以往任何时候都更加清晰。 本次芯课程,我们将与开发者分享224G 以太网 PHY IP 设计所需的 MAC 的注意事项、挑战和解决方案;深入探讨 PHY/MAC 层交互、时序考虑和前向纠错的细微差别。 我们也将与开发者一同了解带宽需求大的应用程序面临的主要挑战,包括延迟优化和 SI 技术,并介绍新思科技MAC IP 解决方案是如何帮助开发者的产品率先上市。

芯课程 | 新思科技带你解读2023开源安全与风险分析报告

开播时间:2023年7月28日 | 可观看回放

2023年,新思科技网络安全研究中心通过审计数千个商业代码库,对各行各业的企业在使用开源软件的风险进行研究,从而发布《2023开源安全风险分析报告(OSSRA)》。 本次芯课程,我们将为开发者解读《2023开源安全风险分析报告》,从开源软件的使用度、许可证合规风险、安全风险、运维风险等四个维度,分享企业使用开源组件面临的风险。同时,本次报告从17个不同行业的角度,描述5年来各行业开源软件使用的风险趋势和变化。

芯课程 | DesignDash,智能决策驱动实现高效芯片设计

开播时间:2023年7月21日 | 可观看回放

随着芯片设计复杂度的提升,产品的性能和面向市场的时间成为我们新的挑战。设计过程中的调试和优化成为加速芯片设计的关键。如何管理分析大量的设计数据将是加速设计优化的关键。DesignDash,作为一款加速芯片设计的大数据分析平台,它与Fusion设计平台、DSO.ai平台相辅相成,能够共同加速芯片的设计收敛。 本次芯课程将聚焦于DesignDash是如何对大量的设计数据进行可视化分析,并产生合理化方案,从而实现芯片设计性能的提升和更好的工作效率。

芯课程 | TCM一站式搞定SDC开发、验证和管理

开播时间:2023年7月14日 | 可观看回放

随着芯片工作频率的不断提高,设计规模的持续扩大,第三方IP的广泛应用,SDC约束的开发、管理和验证变得愈加复杂和困难。而目前SDC的开发和管理大多是通过人工手动修改来完成,SDC的验证则多是通过门级仿真的方式来进行有限的验证,这些在很大程度上影响了项目的执行进度和质量。 本次芯课程,我们将为各位开发者介绍Synopsy TCM工具。相比传统手动修改方式,它为用户提供了自动化开发和管理SDC的方式;同时借助Fishtail的低噪声技术,高效验证设计和SDC约束的一致性以及timing exception的功能正确性。

芯课程 | SoC接口安全在数据保护中的关键作用

开播时间:2023年7月6日 | 可观看回放

在万物互联的今天,数据处理、存储和传输时有可能被窃取或篡改。威胁和攻击系统的方式不断升级,因此一个全面可靠、有弹性的安全解决方案尤为重要。它必须在满足所有基础协议和标准的同时,确保 SoC 受到全方位的保护 本次芯课程,我们将与各位开发者分享: ·保护接口的基础知识,以及如何利用新思科技的安全接口在 SoC 的每个链路上快速实现最高级别防护符合最新标准要求的同时快速上市并降低风险; ·如何使用新思科技安全解决方案实现安全、性能和更迟的最优平衡。

有任何疑问,请发送问题至邮箱

event_cn@synopsys.com