如何使用Design Compiler、PrimeTime 等工具进行RTL到门级网表的实现,逻辑综合流程及STA分析

课程概要

本节课程详细讲解了芯片设计过程中RTL到门级网表的实现,逻辑综合流程,STA分析以及时序分析中的crosstalk、noise、POCV相关的分析方法。旨在帮助设计人员掌握融合芯片Signoff设计流程,优化功能,以业界领先的全流程质量和获得结果时间加速交付下一代芯片设计。

课程亮点

  • RTL到门级网表实现
  • 逻辑综合流程
  • STA分析
视频

对话新思科学家陈松:下一代时序分析的趋势

视频

新思科技数字设计工具集的下一代演化