Fusion Compiler + PrimeShield 实现先进工艺芯片设计的理想PPA

Synopsys Editorial Staff

Mar 31, 2021 / 1 min read

性能、功耗和面积 (PPA) 目标受多个静态指标影响,包括时钟和数据路径时序、版图规划以及特定电压水平下的功耗。这些指标会进一步推动技术库的表征,设计优化和签核收敛。先进工艺节点设计,尤其是高性能计算 (HPC) 应用,对PPA提出更高要求,驱动着开发者们不断挑战物理极限。

追求更优PPA

随着功耗和性能指标不断变化,先进工艺节点下的芯片设计需要考虑更多变量。动态或翻转功耗已经成为功耗优化的重点。尽管降低工作电压可以直接降低动态功耗,但通常而言,工作电压在设计流程中始终都是一项静态指标。先进工艺节点下,更高的单元和功耗密度导致降低电压水平的难度增加,而更低的电压对于实现更低的每瓦性能至关重要。因此,PPA的优化可以从功耗入手。

在时序方面,可以采用静态时序分析 (STA) 来分析每条时序路径,并根据频率对每条路径进行检查。由于先进工艺节点具有明显的易变性,特别是在低电压状态下,这就需要分析因易变性引起的潜在性能瓶颈。通过确定所有关键路径的统计相关性可以找出这些瓶颈,从而避免过度补偿,同时改善PPA。因此,PPA的优化也体现在时序性能方面。

利用PrimeShield优化PPA

2017年,PrimeTime开发了经过代工厂认证的先进电压调节技术,使开发者能够在大范围电压区间内,对任一电压进行精确分析。开发者能够“扫描”电压范围,在不同的电压水平下试运行相同的设计方案,并最终找到卓越的PPA或每瓦性能目标。尽管PrimeTime解决方案准确且有效,但扫频过程耗时较长,且需要消耗大量资源。

快速发展至今,为满足客户的需求,PrimeShield扩展了PrimeTime的核心技术,并引入了一种新的PPA签核分析类型—— Vmin。Vmin表示在设计中,为满足性能要求而为每个单元或每条路径所配置的超低电压。通过这种签核分析,开发者可以高效地查明电压瓶颈,以增强IR压降的鲁棒性,推动电压裕量的均匀性,并找到可直接微调的工作电压。可变电压可作为一项PPA优化指标。

PrimeShield还创新性地采用了PrimeTime签核的核心引擎作为快速统计引擎。利用机器学习技术,PrimeShield解决方案可在几分钟内完成对关键时序路径执行快速蒙特卡洛统计仿真,而传统统计仿真需耗费数天或数周时间。

通过统计相关性建模进行设计变量分析,这项技术已经获得了专利,现在已无需受制于门级数量,可以对数十亿门级的大型SoC进行分析和优化。统计性能瓶颈分析也已经成为一项可优化PPA的指标。

利用Fusion Compiler优化PPA

Fusion Compiler是业界领先的数字设计实现解决方案,可在实现和优化PPA过程中部署新思科技值得信任的黄金签核解决方案。Fusion Compiler独特的Advanced Fusion技术可无缝实现任何新的签核分析,而不产生延时。

通过将签核的精确分析与签核驱动的强大优化技术相结合,Fusion Compiler 和PrimeShield重新定义了SoC先进工艺节点的PPA收敛和签核,为PPA的优化提供助力,提升了PPA曲线,并提高了SoC设计的每瓦性能。Vmin分析和优化功能在实际应用中表现优异,可使总功耗降低15%,同时Vmin还可满足超级过载条件,显著提高标准操作模式中的每瓦性能。

Continue Reading