2022 论文集

2022 论文集

Presentations

超大规模芯片MBIST&Repair的挑战与解决方案

如何构建安全可靠的DPU软件生态

利用VC Formal 进行等价性验证的经验分享

ZeBu EP1—业界最快的十亿门级硬件仿真系统

加快动态仿真速度,提高静态分析效率——从动到静助力“芯” 验证

更新、更快、更强,签核产品领航者

先进封装技术的发展趋势和对EDA的挑战

突破性的芯片设计AI新时代—DSO.ai

借力成熟IP加速XPU设计和上市步伐

从芯片到软件,新思科技助力中国汽车智能网联变革

为自动驾驶汽车构建安全可靠的解决方案

FuSa全流程工具的介绍

支撑先进车载感知能力的高性能车规IP解决方案

在车规芯片的整个生命周期中进行测试和分析

面向汽车应用的定制设计可靠性解决方案

大幅提升版图效率的定制设计自动化的实施方案

前移“芯”问题,应对“芯”挑战,助力“芯”创造 -- 全周期统一验证平台助力5G芯片验证

利用HyperScale技术实现层次化静态时序分析

基于Fusion Compiler实现最佳能耗比RISC-V处理器

RTL Architect—提升IC设计生产力,快人一步实现最优PPA

5G时代面临的挑战和应对

为高速接口的数据安全保驾护航

面向高性能计算芯片的物理设计方法学演进

针对数据中心应用的 PCIe、CXL以及DDR产品解决方案

直面Die-to-Die的新热点: UCIe

高速以太网解决方案 

先进验证多处理器SoC缓存一致性

多核服务器CPU的高性能FPGA原型验证方案HAPS100

基于 Arm 数据中心 SoC 设计的早期架构和性能优化