Synthesis, within the field of electronic design automation (EDA), is the automated process of transforming high-level hardware descriptions into lower-level representations that can be physically manufactured on a semiconductor chip. Most commonly, synthesis refers to converting Register Transfer Level (RTL) code, written in hardware description languages like Verilog or VHDL, into a gate-level netlist. This netlist specifies the actual logic gates and connections that will be fabricated on silicon.
This process is fundamental to digital integrated circuit (IC) design. Synthesis provides a bridge between the abstract, human-readable logic that designers create and the concrete, detailed hardware that manufacturing tools require. It is a crucial step for ensuring that the intended functionality, performance, and other design goals are maintained when moving from concept to physical device.
Synthesis not only translates code but also optimizes the resulting circuit for key metrics such as power consumption, performance (speed), and silicon area. As technology nodes become smaller and designs more complex, synthesis plays an increasingly important role in making sure chips are efficient, reliable, and manufacturable.
Synthesis begins with RTL code, which describes the behavior of a digital circuit in terms of registers, operations, and data flow. The synthesis process involves several key steps:
Synthesis tools also provide feedback on how well the design meets specified goals. For example, they can estimate if the circuit will run at the desired clock speed or fit within a particular area budget. This feedback allows designers to refine their RTL code or constraints early in the design process.
| Step | Description |
| Parsing and Elaboration | Reads RTL, checks errors, and builds internal model of the design. |
| Technology Mapping | Converts RTL into a network of standard logic gates and flip-flops. |
| Optimization | Refines netlist for area, power, and performance (e.g., retiming, resource sharing). |
| Constraint Handling | Applies design constraints like timing, area, and power requirements. |
| Output Generation | Produces a gate-level netlist for use in placement, routing, and physical design. |
At advanced semiconductor nodes, effects like variability, leakage, and timing closure become much more pronounced. Synthesis must account for these physical realities, often requiring closer integration with physical design tools and more sophisticated modeling. This helps ensure that designs are manufacturable and meet performance and power goals, even as complexity increases.
Synthesis is closely linked to verification and testing. During synthesis, test structures can be inserted to make sure the manufactured chip can be efficiently tested for defects. Synthesis tools also work in conjunction with formal verification tools, which check that the gate-level netlist is functionally equivalent to the original RTL code, helping to catch errors before manufacturing.
RTL synthesis specifically refers to converting register-transfer level code into a gate-level netlist, while logic synthesis is a broader term that can include optimizations and transformations at various abstraction levels. In practice, these terms are often used interchangeably within the context of digital IC design.
| Aspect | RTL Synthesis | Logic Synthesis |
| Input Level | Register-transfer level (RTL) code | Can include various abstraction levels |
| Output | Gate-level netlist | Optimized netlist across abstraction layers |
| Scope | Narrower focus on RTL-to-gate translation | Broader, includes transformations and optimizations |
| Usage | Commonly used in digital IC design | Often used interchangeably with RTL synthesis |
While synthesis tools can catch many errors, such as unconnected signals or inconsistent hierarchies, they are not a substitute for comprehensive functional verification. However, synthesis tools do flag issues that could prevent manufacturing or impact the implementation, and equivalence checking tools can ensure that the synthesized design matches the designer's intent.
Modern synthesis is evolving rapidly. Machine learning is being used to predict the impact of design changes and to improve optimization. Cloud-based synthesis enables faster turnaround for large-scale designs. Unified flows that combine synthesis with placement and routing are helping address the growing complexity and integration required for advanced chips.
Synthesis offers a range of important benefits to the semiconductor design process:
| Benefit | Description |
| Automation | Automates translation from high-level RTL to hardware. |
| Optimization | Improves power, performance, and area with algorithms. |
| Scalability | Handles designs from small controllers to large SoCs. |
| Predictability | Provides early feedback on timing, area, and power. |
| Integration | Works with verification, testing, and physical design tools. |
| Consistency | Preserves design intent from RTL to silicon. |
Synthesis directly influences how well a chip meets its performance and power goals. By optimizing the logic and mapping it efficiently to the technology library, synthesis tools can help achieve aggressive timing targets and minimize energy usage, which is especially important for battery-powered and high-performance applications.
Synopsys is a global leader in electronic design automation, providing synthesis solutions for both FPGA and ASIC design flows.
All Synopsys synthesis tools support industry-standard hardware description languages such as Verilog, SystemVerilog, and VHDL. By adopting these solutions, engineering teams can accelerate convergence, gain early insight into design quality, and achieve competitive results in both FPGA and ASIC design.
| Flow | Synopsys Product | Purpose |
| FPGA | Synplify® | High-quality synthesis for programmable logic designs. |
| ASIC | Design Compiler® | Industry-standard RTL synthesis, optimized for PPA (power, performance, area). |
| ASIC | Fusion Compiler™ | Unified RTL-to-GDSII flow with synthesis, placement, and routing. |