AI 驱动的大容量一站式解决方案,极尽所能帮助高标准的设计优化 PPA

Synopsys PrimeClosure 是业内首款 AI 驱动的 Signoff ECO 解决方案。Synopsys PrimeClosure 集成了业内黄金级 Synopsys PrimeTime® 静态时序分析和 Synopsys Fusion Compiler RTL-to-GDSII 实现解决方案,可加快电子设计的功耗-性能-面积收敛结果转化速度 (TTR)。Synopsys PrimeClosure 采用独特的架构,使客户能够一站式处理巨大的设计容量和先进工艺节点上的复杂性问题,从而实现业界最快的迭代时间。Synopsys PrimeClosure 提供 100% Synopsys PrimeTime 黄金级 Signoff STA 优化,且包括 LIVE 集成,可提供最准确的 ECO 结果质量 (QoR),从而实现可预测的设计收敛。客户可从多方面受益:ECO 迭代次数减少,设计周期迭代次数减少,设计工作效率提高;从而实现通往最终产品上市时间目标的最快途径。Synopsys PrimeClosure 利用 AI 驱动的自动化 ECO 彻底革新了最后一公里设计收敛,显著改善了时序、功耗和工作效率——在此之前,这些方面的工作耗时耗力,难以实现既定的 PPA 设计目标。


主要优点

黄金级 Signoff ECO
100% 黄金级 Synopsys Primetime Signoff 精度,能实现可预测的设计收敛并将 ECO 迭代次数减至最少
改善 PPA
原生集成 Synopsys Fusion Compiler 解决方案,可加速大核 QoR 收敛,实现零迭代全流程收敛
一站式
高容量,一站式,无缝运行数十亿个实例设计,拥有成本极低

Synopsys PrimeClosure 的 PPA 改善示例

详细了解最后一公里设计收敛

最新资源

与专家交谈