DC Ultra

时序、面积、功耗和测试的同步优化

DC Ultra™ RTL 综合解决方案实现了时序、面积、功耗和测试的同时优化,可帮助用户应对当今的设计挑战。DC Ultra 包含了创新的拓扑技术,实现了可预测的流程,以更快地生成结果。拓扑技术提供与版图后结果差别在 10% 以内的时序和面积预测,帮助设计人员减少逻辑综合和物理实现之间昂贵的迭代。DC Ultra 具备的可扩展基础架构可在四核平台上将运行速度提高 2 倍。 

DC Ultra 是 Synopsys RTL 综合全面解决方案的核心部分,包含 Power Compiler™、DesignWare®、PrimeTime® 和 DFTMAX™。Design Compiler Graphical 可作为 DC Ultra 的附加组件,具有优异的结果质量、拥塞预测和缓解能力、以及物理观察器和布局规划探索功能。此外,Design Compiler Graphical 还提供对 IC Compiler 的物理指导、与版图更加紧密关联的布局布线解决方案,以及更快的布局运行时间。

时序、面积、功耗和测试的同步优化

行业领先的全面的综合解决方案 

优势

  • 时序、面积、功耗和测试同时优化
  • 结果与物理实现相关联,差别在 10% 以内
  • 建立快速关键路径以清除时序瓶颈
  • 对新旧设计中较小的面积执行门到门优化,同时保持时序结果质量 (QoR)
  • 在 RTL、原理图和时序报告之间执行交叉探查,实现快速调试
  • 让用户更加灵活地控制设计中特定区域的优化
  • 通过集成静态时序分析、测试综合和功耗综合,实现更高效率
  • 支持多电压和多电源设计
  • 在四核计算服务器上将运行速度提高 2 倍