物理验证 - IC Validator

IC Validator 是久经考验的高性能综合性签核物理验证解决方案。它具有行业领先的性能可扩展性,为物理设计人员提供出色的易用性,并针对所有主流工艺节点提供广泛的 Runset 支持。

IC Validator 的高性能 DRC 与 LVS 物理验证引擎通过在数百个 CPU 芯核上近线性的可扩展性,大大缩短了得到验证结果的时间。在拥有超过数百亿晶体管和晶圆尺寸超过 800 mm2 的一些行业最大规模的设计中,IC Validator 只需几小时即可完成 DRC 签核。

IC Validator 与 IC Compiler II 无缝集成,用于 In-Design 物理验证。这项屡获殊荣的技术能够在实施环境内部实现独立签核质量分析和自动修复,从而加快了制造部门的设计收敛。

主要的代工厂和 IDM 对 IC Validator 的物理签核能力进行了全面的资质认定以及流片验证。IC Validator 为所有主流工艺节点提供广泛的 Runset 支持。

物理验证, IC Validator

IC Validator 物理验证解决方案