解决人工智能芯片设计面临的新挑战

课程概要

本次课程将和大家分享人工智能领域最新的市场发展趋势和需求,从差异化算力、高带宽低能耗存储、异构运算、多die实时互联、覆盖缺陷和良率测试、以及信息安全等角度讲述人工智能芯片设计过程中所面临的挑战及应对策略,为人工智能芯片开发者系统的介绍了一整套经过广泛应用的IP解决方案产品及特征。

视频

DesignWare IP ——打造精彩绝伦的AI芯片

White Paper

实现设备智能,从数据中心到边缘的AI芯片设计