利用 DSO.ai 提供更好、更快、更便宜的半导体

Synopsys 于 2020 年初推出了Synopsys DSO.ai™(设计空间优化 AI),开创了突破性芯片设计的新时代,从而提供更好、更快、更便宜的半导体。DSO.ai 是业界首款用于芯片设计的自主人工智能 (AI) 应用,它在芯片设计的超大解决方案空间中搜索优化目标,利用强化学习来改善功耗、性能和面积。备受赞誉的 DSO.ai 通过对 Synopsys Fusion Compiler™ 和 IC Compiler™ II 的工作流程中大规模设计选项的探索,自动化次要决策,能够提高工程生产率,并快速交付您以前只敢想象的结果。

主要优点

results icons

无与伦比的 PPA 结果

每一个项目,每一次 —— 为芯片设计工作流程探索更大范围的选择

fastest time to design

最短的设计时间

自动收敛到可直接用于流片生产的解决方案

productivity

AI 级生产力

使设计团队能够在专家水准进行扩展和操作

功能

dso.ai artificial intelligence

自主的 RTL 至 GDSII 全流程优化

通过自动化优化过程,Synopsys DSO.ai 可以缩短设计时间,提高设计质量,并且可以帮助充分释放逻辑和物理领域的 PPA 潜力。这意味着设计人员可以使得该设计实现更高的性能、更低的功耗和更小的芯片面积,同时减少人工工作量。总而言之,使用自主的 RTL 至 GDSII 全流程优化有助于提高芯片设计过程的效率和有效性。

breakthrough AI engine

突破性 AI 引擎

Synopsys DSO.ai 的突破性强化学习引擎是其主要优势之一,可在优化过程中进行智能学习并缩小设计空间。这可以有效优化数万亿的设计方案,从而在设计空间优化中实现更好的结果、加快结果转化速度并降低计算成本。 

multi-objective design space optimization

多目标设计空间优化

这意味着 Synopsys DSO.ai 可以同时优化多个目标的设计,例如性能、功耗和面积。通过统筹考虑这些目标,设计者可以在它们之间实现最佳权衡,从而产生更高效和有效的设计。该功能使设计者能够快速探索大范围设计选项,然后根据自己的特定需求选择最合适的设计选项,从而节省时间和资源。

新闻和博客

查看 DSO.ai 客户的体验

资源

相关 AI 芯片设计工具

借助 AI 提升芯片设计