Power Compiler

Design Compilerでのパワー最適化

Power Compiler™は、RTLおよびゲートレベルにおいて消費電力を自動的に最小限に抑え、Design Compiler®でタイミング、面積、パワー、テストの最適化を同時に実行する機能を備えています。また、先進のクロック・ゲーティングとローパワー配置を実行してダイナミック・パワーを削減し、リーク・パワーの最適化によりスタンバイ・パワーを削減します。Power CompilerとDesign Compiler Graphicalを利用してマルチコーナー・マルチモード(MCMM)の同時最適化を実行することで、イタレーションを削減し、結果達成までの期間(TTR)を短縮できます。設計者は、IEEE 1801標準のUPF(Unified Power Format)で定義されたパワー・インテントと共に、Power Compilerを使用して、マルチ電圧、パワー・ゲーティング、ステート・リテンションなどの最新のローパワー手法を導入できます。 

主な特長

  • 先進のクロック・ゲーティングとローパワー配置により、ダイナミック・パワーを削減
  • リークパワー最適化により、スタンバイ・パワーを削減
  • マルチコーナー・マルチモードベースの同時最適化により、結果達成までの期間を短縮
  • UPF駆動の高度のローパワー技術によるインプリメンテーションの自動化
パワー合成と最適化のための包括的なソリューション

Design Compiler内で完全かつ包括的なパワー合成を実行