Design Compiler NXT

次世代のDesign Compiler

Design Compilerファミリーの革新的テクノロジ

Design Compiler®は、RTL合成製品Design Compilerファミリー最新の革新的テクノロジであり、市場をリードするDesign Compiler Graphicalの地位をさらに拡大するものです。  Design Compiler NXTは、高速で効率性の高い最適化エンジン、クラウド対応の分散合成、RC予測に対する新しい高精度のアプローチなど、5nm以下のプロセス・ノードに必要な機能などの革新的テクノロジを採用しています。

「当社はDesign Compiler NXT に含まれる最新の合成テクノロジの開発でシノプシスと協力しています。当社の設計開発にDesign Compiler NXT を採用し、開発期間短縮と結果品質向上という留まることを知らない市場要求に応えられることを期待しています。」

ルネサスエレクトロニクス株式会社 統括部長、加賀谷達次氏

シノプシス デジタル・ツールセットの新時代

Design Compiler NXTのご紹介

QoRを早期に達成し、先進ノードに対応

主な特長

  • クロック/データ同時最適化などの先進の最適化テクノロジによりタイミングとダイナミック・パワーのQoRが5%向上
  • 5nm以降を含む次世代プロセス・ノードのサポート
  • IC Compiler IIに対するフィジカル・ガイダンスの拡充によりRCおよびタイミングとの相関性が向上
  • マルチスレッド・テクノロジ進化により8コアでのスケーラビリティが向上、実行速度が2倍に
  • インテリジェントなワークロード分割によるクラウド対応の分散処理
  • MilkyWay™ライブラリ形式のサポートを維持しながら、フィジカル・ライブラリやブロック抽出モデルをIC Compiler IIと共通化
  • Design Compiler Graphicalと互換のプラグ・アンド・プレイ、ユーザー・インターフェイスおよびスクリプト