DC Ultra

タイミング、面積、電力、テストの同時最適化

DC Ultra™ RTL合成ソリューションを使用することで、タイミング、面積、電力、テストの同時最適化という今日の設計における要件に対応できます。DC Ultraには、画期的なトポグラフィカル・テクノロジが含まれており、より短時間に結果を出す予想可能性の高いフローが実現できます。トポグラフィカル・テクノロジは、レイアウト後、最大10%のタイミングと面積結果を予想できるため、コストのかかる合成とフィジカル・インプリメンテーション間の反復を減らすことができます。DC Ultraには、4コア・プラットフォームで実行時間を半分に高速化する拡張可能なインフラストラクチャも含まれています。 

DC Ultraは、Power Compiler™、DesignWare®、PrimeTime®、 DFTMAX™を含む、シノプシスの包括的なRTL合成ソリューションの中核です。Design Compiler Graphicalは、DC Ultraへのアドオンとして提供されており、最高の結果品質、混雑予想および低減機能、フィジカル・ビューア、フロアプラン検討機能が含まれています。また、Design Compiler Graphicalは、IC Compiler II配置配線ソリューション用のフィジカル・ガイダンスを作成して相関性を改善し、配置の実行速度を向上することができます。

並行タイミング、面積、電力、テスト最適化

業界随一の包括的な合成およびテスト・ソリューション 

主な特長

  • タイミング、面積、電力、テストを同時に最適化
  • フィジカル・インプリメンテーション比10%内の相関性
  • 高速のクリティカル・パスを作成することによりタイミングのボトルネックを排除
  • ゲートツーゲートの最適化により、タイミングQoRを維持しながら新規またはレガシー設計の面積を縮小
  • RTL、スケマティック、タイミング・レポート間のクロスプロービングによるデバッグの高速化
  • 設計の特定の領域の最適化をユーザーが制御できるため、高い柔軟性が実現
  • スタティックタイミング解析、テスト合成およびローパワー合成が統合されており、高い効率性が実現
  • 多電圧および多電源のサポート
  • 4コア・サーバ上で実行速度が半分