Verification Videos

Constraints Driven CDC Methodology (Part 2)

In part 1 of this Synopsys webinar series, we talked about how increasing SoC design complexity is leading to huge number of clock domain crossings (CDC), making CDC verification cumbersome and time consuming. The huge number of CDC crossings pushes for waivers in the final design sign-off due to tight schedule masking silicon bugs in the design tapeouts. To avoid these issues, we talked about Constraint driven CDC methodology, and how our customers are doing quality sign-off using it.

In part 2 of this webinar series, we will discuss how to apply Constraint driven CDC methodology, what are the CDC specific constraints and their semantics and finally how a user can define and verify these constraints to address complex CDC problems.

Please complete the following form, then click the 'continue >>' button below.

Required Required Fields

Business Email:Required
First Name:Required
Last Name:Required
Phone:Required
Job Title:Required
Company:Required
Country/Region:Required
Address:Required
City:Required
State/Province:
Optional
Postal/Zip Code:Required