Fusion Design Platform赋能每瓦性能优化激发HPC巨大潜能

Synopsys Editorial Staff

Oct 10, 2021 / 1 min read

HPC是半导体行业中增长最快的设计领域之一,是云数据中心、人工智能、移动计算、自动驾驶等多种热门应用创新动力所在。但其中功耗所带来的挑战也使应用领域的设计性能受到限制。例如,能源和冷却成本会直接影响数据中心的盈利能力,而手机开发者必须谨慎地平衡性能和电池寿命之间的关系。

测试实验室

因此,每瓦性能就成为HPC设计质量的优先考量因素之一,致使技术重心和设计功耗优化方法发生了转变。可变工作电压对于优化每瓦性能结果具有很大的潜力,但这需要探索出准确且高效的方法论。因此,新思科技提出PPA(V)优化,除了既有的性能、功耗和面积(PPA)之外,如何在可变工作电压下实现每瓦性能优化成为重要指标。而新思科技的Fusion Design Platform采用基于单一RTL-to-GDSII数据模型的独特理念,提供了全流程电压优化和收敛方法,可在要求极其严苛的半导体领域实现理想的每瓦性能。

Fusion Compiler和IC Compiler II的电压优化能力(基于新思科技的黄金签核解决方案)通过在优化过程中引入工作电压作为变量,为有效增强先进节点设计的每瓦性能提供了一种不同的方法。PrimeShield创新的设计鲁棒性和电压裕度分析基于相同的核心基础。新的分析指标使设计人员能够有效地找出电压鲁棒性的瓶颈,提高电压裕度设定效率,并发现直接微调工作电压的机会。新思科技Fusion Design Platform独特地基于单个RTL-to-GDSII数据模型而构建,可提供全流程电压优化和收敛方法论,为要求极其严苛的半导体领域提供理想的每瓦性能结果。

 

更多有关优化PPA(V)的介绍下载白皮书

Continue Reading