Verification Datasheet Download

VC SpyGlass RDC

Clock domain crossings (CDCs) are a well-known source of metastability, but they are not the only source. Asynchronous reset crossings within the same clock domain can also cause metastability. The use of asynchronous resets is becoming more prevalent because of the broader use of multi-phase power-up boot sequences and increasing software asynchronous resets. Therefore, designs are now more prone to expensive Reset Domain Crossing (RDC) issues, which can add significant time and cost to design and debug cycles and may even escape in silicon resulting in expensive respins. Like CDC verification, RDC verification has become equally essential signoff criteria to ensure that the designs work per the specifications.

Please complete the following form then click 'continue >>' to complete the download.   Note: By registering, you acknowledge and agree to the terms of the Synopsys Privacy Policy.

Required Required Fields

Business Email:Required
First Name:Required
Last Name:Required
Phone:Required
Job Title:Required
Company:Required
Country/Region:Required
Address:Required
City:Required
State/Province:
Optional
Postal/Zip Code:Required