Verification Datasheet Download

VC SpyGlass CDC

Among the many verification challenges confronting system-on-chip (SoC) designers today, clock domain crossings (CDC) ranks near the top in difficulty. Today's SoCs have dozens, sometimes even hundreds, of asynchronous clock domains, making it very difficult to verify using conventional simulation or static timing analysis (STA). RTL simulation is not designed to verify metastability effects, which lead to data transfer issues across asynchronous clock boundaries. STA tools also do not address asynchronous clock domain issues.

Please complete the following form then click 'continue >>' to complete the download.   Note: By registering, you acknowledge and agree to the terms of the Synopsys Privacy Policy.

Required Required Fields

Business Email:Required
First Name:Required
Last Name:Required
Phone:Required
Job Title:Required
Company:Required
Country/Region:Required
Address:Required
City:Required
State/Province:
Optional
Postal/Zip Code:Required