プログラム

10:00

10:40
Opening-ビデオメッセージ
日本のお客様へ  Synopsys, Inc. Chairman & Co-CEO Dr. Aart de Geus
Keynote-キーノートスピーチ

講演者

ウェアラブルがもたらす未来社会と人間情報学

東京大学 名誉教授
NPO法人 ウェアラブル環境情報ネット推進機構 理事長
工学博士 板生 清 様
「ネイチャーインタフェイスの世界」を1991年に提唱して以来、センサネットによって、人間、人工物、自然のインタフェイス(界面)を限りなく低くして、3大情報源からの発信情報、すなわち万物からの情報をシームレスに交流する調和的世界を目指してきました。さらに環境センサ、生体センサなどの各種センサからの情報がビッグデータとなり、今まで周辺機器への一方通行だった情報が、逆にウェアラブルセンサから情報ネットへと流入し、あらたなサービスが展開されることを予測しました。このときイノベーションの対象は健康、快適、環境、安全安心、強靭なコミュニティなどとなります。本講演は「万物は情報を発信する」という観点から、人間情報学をベースにして、身につけるウェアラブル機器とセンサネットワーク技術がもたらす近未来について解説します。
経 歴
NTTにおいて情報通信の黎明期において、24年間、機器開発・サービス開発に従事したのち、大学に転じて、民間企業と大学によって研究開発型NPOを立ち上げた。社会に役立つウェアラブル情報ネットサービスを目指し、人間や構造物のヘルスケア分野・環境分野などの新サービスを、機器の開発とともに提案し続けている。東京理科大学の技術経営(MOT)大学院を作り、JSTでは戦略的創造研究事業の先進的統合センシング技術創出領域を8年間総括として務め、数々の技術を世の中に送り出した。
Galaxy Track
10:55

12:20
G-1
<Design Compiler Learnセッション>

DC/DCG/ICCを用いたローパワー実現事例紹介 ~ローパワー・セルの最大活用

ルネサス システムデザイン株式会社
第四開発事業部 BE設計第一部
主管技師 入江 和幸 様
バックエンド設計において、ローパワー化は必須項目の一つとなっています。最小パワーを目指し、小面積化、小配線容量化、高uHVt率化、低電圧化動作、などを実現させる様々なレイアウト手法が存在しますが、市場では、さらなるローパワー化が期待されている状況です。そこで今回は、弊社ルネサス含め、各社が独自に用意しているローパワー・セルを最大限有効活用するDC/DCGおよびICCを含めたフィジカル・メソドロジをシノプシスと共同開発し、従来比でさらに9.3%ものトータル・パワー削減を実現した実製品事例をご紹介します。

DC Explorer / DC Graphical適用事例

株式会社 東芝 セミコンダクター&ストレージ社
ミックスドシグナルIC事業部 設計技術開発部 設計メソドロジー開発担当
参事 面谷 圭二 様
シノプシスDC ExplorerとDC Graphicalはリリースされて久しく、興味を持たれている方はもとより、実際に使われている設計者の方も増えてきていると思います。東芝でもいくつかの製品設計に適用して効果が出ており、ここではその事例をご紹介します。DC Explorerについては、設計初期段階での適用で、主に入力データのブラッシュアップに使用して設計期間短縮効果が得られたUse modelの事例や、合成結果を上流工程へフィードバックしてより良いRTLやアーキテクチャ選択に利用した事例を、DC Graphicalについては、optimize netlistなどの新機能適用によって得られたarea削減やleak低減効果の事例をご紹介します。

高性能・低消費電力GPU開発における Design Compiler Graphical適用事例

株式会社ディジタルメディアプロフェッショナル
コア技術開発部
マネージャー 三浦 史雄 様
高性能・低消費電力GPUは、設計の複雑化、動作周波数の高速化が進んでいる一方、面積、電力削減、そして短納期を要求されています。ファブレス半導体ベンダである弊社は半導体製造をASICベンダに依頼しており、ASIC設計の従来手法であるWLMベースやDesign Compiler Topologicalを用いた合成を行っていました。しかしながら、回路規模の増大、複雑化に伴い40nm以降の設計では過剰マージンによるエリアの増大、レイアウトでの配線混雑の発生などが頻発し、ASICベンダとのイタレーションが多発します。今回、これら諸問題の解決策としてDesign Compiler Graphicalを評価して得られた結果をご紹介します。
12:30

14:00

Lunch Session-ランチセッション ※お弁当をご用意しております

L-1 同時通訳

PrimeTime Special Interest Group

タイミング収束を加速する、PrimeTime先進のテクノロジ

富士通セミコンダクター株式会社
共通テクノロジ開発センター 第一設計技術部
プロジェクト課長 柴本 亘 様
株式会社 東芝 セミコンダクター&ストレージ社
ミックスドシグナルIC事業部 設計技術開発部
参事 坂本 敏行 様
Synopsys, Inc.
Design Group, R&D
Director Bill Shu
毎年恒例のPrimeTime Special Interest Group のイベントを今年も開催します。このイベントはSTAの分野での最新技術をPrimeTimeユーザーの皆さまにご紹介するものです。 今年はPrimeTimeの先進の技術HyperScaleやAdvanced ECOが、いかに大規模かつ、動作周波数の高いデザインのタイミング収束を加速することができたか、実際のデザインにPrimeTimeを使用されている、富士通セミコンダクター株式会社様、株式会社東芝様から事例を紹介いただきます。またシノプシスからの技術解説のセッションも用意しています。プレゼンテーションのあとには、ルネサス エレクトロニクス様、パナソニック様の技術者の方にも登壇いただき、発表者の方とのQ&Aセッションにて、ご来場の皆さまにもより深い理解を得ていただく趣向です。
14:05

14:45
G-4

機能ECO期間を短縮し設計スケジュールの予測可能性を高めるFormality Ultra

日本シノプシス合同会社
技術本部
シニア アプリケーション・コンサルタント 平塚 憲晴
デザインの複雑化に伴い、テープアウト前の機能ECOによる反復作業は、設計スケジュールを守る上での課題になっています。Formality Ultraは、(1)ECO適用箇所を特定 (2)回路を編集 (3)編集部分を高速に検証する機能を備えており、一連の機能ECO作業をサポートします。そして設計者の意図に沿ったより自由度の高い回路修正を可能とします。本セッションでは、基本的な機能はもちろん、より複雑なECOを可能にするノウハウについて、適用事例での経験を交えてご紹介します。例えば、今まで設計者が何時間も試行錯誤して探していたネットリスト上のECO箇所も、Formality Ultra では数分で見つけることができます。Formality Ultraを機能ECO期間の削減にお役立てください。
14:50

15:30
G-5 同時通訳

N16FinFET認証・統合ツールフロー

Taiwan Semiconductor Manufacturing Company Limited (TSMC)
DTP
Technical Marketing Manager Liming Li 様
16nm FinFETプロセスは、TSMCが提供する最新テクノロジの一つですが、 この最新テクノロジがもたらすメリットを享受していただくための環境構築に重要な役割を果たすのは、実証済みのCADソリューションです。当社は、当社がIntegrated Tool Certificationと呼ぶプロジェクトでシノプシス社と緊密に協業し、N16FFベース・デザインで安心してお使いいただけるシノプシス・ツール群を実現しました。この認証プロセスは、個々のツールがN16FFプロセスに必要な機能を備えているかどうかを確認するだけではなく、設計フローとして実チップ設計に耐えうる完全なソリューションになっているかどうかを実証するためのものです。 本セッションでは、TSMC N16FFテクノロジ、統合ツールフロー認証プロセス、シノプシス・ツール群を用いて実際に行った16FFベース・デザインについてご説明します。FinFETのメリット、TSMCツール認証インフラ、完全なインプリメンテーション/サインオフ・フローと各ツールの高い相関性をご確認ください。
15:30

15:50
Coffee Break-休憩
15:50

17:15
G-6 同時通訳

競争力を強化するGalaxy Design Platform

Synopsys, Inc.
Design Group, R&D
Sr. Director Thomas Andersen
この15年間で先端テクノロジ・ノードが180nm(バルクCMOS)から14nm(FinFET、ダブル・パターニング)へと微細化を続ける一方、成熟したテクノロジ・ノードは、デバイス種の増加(バイポーラ、DMOS、NVM)やインテグレーションの多様化(センサ、MEMS、3D-IC)をもたらし、デジタルCMOSを補完する役割を果たしてきました。ITRSによると、2010年代終わりにはトランジスタ数が1兆個を超えると予想されており、その複雑さが最大の設計課題となるのは間違いありません。シノプシスのGalaxy Design Platformは、フロー統合、新しいアルゴリズム、一貫した実行時間の短縮とメモリー・フットプリントの削減により、あらゆるテクノロジ・ノードのデザインでこれまで以上に競争力の強化をもたらします。本セッションでは、現在のIC設計が直面している体系的な複雑さを解消するGalaxy Design Platformの最新のイノベーションについてご説明します。

処理能力が10倍に向上したIC Compiler Ⅱ ~製品のご案内

Synopsys, Inc.
Design Group, Product Marketing
Sr. Director Saleem Haider
Synopsys, Inc.
Design Group, R&D
Sr. Director Thomas Andersen
先ごろSynopsys Users Group(SNUG)Silicon Valley 2014にて、業界をリードするフィジカル・インプリメンテーション・システム、IC Compilerの後継となるIC Compiler Ⅱが発表されました。このセッションでは、インプリメンテーションの常識を塗り替えるIC Compiler Ⅱの全容をご紹介した後、厳しさを増すIC設計の課題を解決する新機能の数々をご説明します。スループットが10倍に向上したIC Compiler Ⅱで実現する新しいフィジカル・インプリメンテーションの世界をご覧ください。
17:20

18:00
G-8

大幅な生産性向上を実現した次世代P&RツールIC Compiler Ⅱの適用事例

パナソニック株式会社
システムLSI事業部 第三事業ディビジョン 第二開発グループ
グループマネージャー 朝重 浩喜 様
パナソニック株式会社
システムLSI事業部 第三事業ディビジョン 第二開発グループ 第二開発チーム
主任技師 門田 匡史 様
Synopsys, Inc.
Design Group
Principal CAE 二階堂 輝
パナソニック システムLSI事業部では、お客様に突き刺さる商品をタイムリーかつ迅速に開発するために、先端技術の導入を積極的に行っています。今回、シノプシス社とのコラボレーションにより、配置合成から配線までICCⅡによる強力なフローを構築し、設計生産性を著しく向上することができました。本セッションでは、テープアウトを短TATで実現した最新のSoC設計事例についてご紹介します。

シノプシスが一から再開発した次世代フィジカル設計ソリューション ICCⅡをパナソニック様に先行導入いただき、共同で高速SoCの実設計に適用しました。ネイティブ・マルチスレッディングによる超高速かつ大容量次世代データモデルをインフラストラクチャに持つICCⅡでは、従来は不可能だった多数のファンクション・モードの同時最適化を短時間で実行でき、テープアウトまでの工数の大幅削減が可能になりました。実際の設計で有効なAPS(アダプティブ・フィジカル・シンセシス)による最適化、次世代マルチモードCTSなどのテクニックをご紹介いたします。