AI驱动的设计应用
《芯课程》新一代原型验证平台HAPS-100赋能系统级高效率硅前软硬件开发
本次课程将讲解HAPS-100的强大技术创新,以及如何应对当今芯片开发过程中更大规模,更高性能,更高debug效率和更低成本的原型验证方案挑战,赋能系统级硅前(Pre-Silicon)软硬件协同开发…
《芯课程》人工智能及处理器设计验证必备利器VC Formal DPV
我们将介绍如何使用新思科技VC Formal DPV来加速收敛这类运算器的验证…
《芯课程》先进工艺节点设计鲁棒性和设计质量的黄金守卫-PrimeShield
PrimeShield创新的快速统计分析引擎结合业界黄金标准的PrimeTime 时序分析引擎、HSPICE仿真工具和机器学习技术,实现了全局的统计学时序设计变异性分析、修复和基于仿真的high-sigma可靠性时序设计…
在本次网络研讨会中,我们将介绍在高性能计算(HPC)连接的市场应用下,当开发者升级到PCI Express(PCIe)5.0接口时所需要考虑情况…
我们将通过三期《芯课程》为大家深入分析Tweaker ECO产品在高性能签核方面的优势,以及如何能帮助您准确、有效地优化整个芯片设计流程…
本篇着重讨论异步复位Assertion引发的亚稳态问题、验证挑战以及验证流程…
本篇着重讨论VC SpyGlass CDC从设置简单、易扩展高性能、低误报、高质量SignOff、易于调试等方面为业界提供了一个易于使用且全面的CDC验证指南,用于解决RTL和门级网表中CDC验证的挑战…
本篇着重讨论VC SpyGlass CDC从设置简单、易扩展高性能、低误报、高质量SignOff、易于调试等方面为业界提供了一个易于使用且全面的CDC验证指南,用于解决RTL和门级网表中CDC验证的挑战…
我们将介绍在高性能计算(HPC)SoC设计中,不同应用场景下的市场趋势以及各类存储器用例…
本课程将介绍新思科技VC LP的工具概况以及三种加速低功耗设计验证新技术,助您领跑业界的低功耗静态验证…
从智能汽车功能安全现状、发展趋势、ISO 26262标准下的汽车ADAS系统功能安全要求、功能安全处理器IP方案对汽车SoC开发和认证带来的影响,及新思科技ARC功能安全处理器IP的特性和应用等方面带来系统讲解…
在高性能计算SoC设计中挑选适合的Die to Die连接IP
从SoC设计在HPC应用中将面临的挑战、Die to Die IP 在面向HPC应用的SoC中的应用场景、如何在延迟、功耗和带宽等关键指标间进行权衡以及SerDes IP与并行IP在SiP设计中的优势等方面进行系统讲解…
使用VC Apps,用户可以创建自定义应用程序,以满足其设计和验证环境不断增长和不断变化的需求…
本篇着重讨论异步复位Assertion引发的亚稳态问题、验证挑战以及验证流程…
您需要的快速简单的signoff就绪方法学…
加速编译的优选手段VCS Partition Compile
了解新思科技新编译手段,让客户可以在既有编译flow基础上,做很少的一点改变,就能提高3~5倍的初次编译速度,提高5~10倍的再次编译速度…
Verdi Protocol-aware Debug提供了一系列调试VIP的图形工具。通过使用Transaction and Protocol Analayer,我们可以方便地查看VIP的transaction的信息,通过Performance Analyzer,我们可以方便的测量VIP的各种metrics…
课程中将介绍Fusion Compiler所应用的一些先进的设计理念和方法,包括一体化的综合物理实现流程(UPS flow), 机器学习(Machine Learning)以及与签核工具的无缝结合(Signoff Fusion)…
助你快速简捷准确的开始物理实现——高效的RM 2.0 Flow
本课程将一一为您解开RM2.0 flow的易用性和好用性的奥秘…
IC Validator LVS Explorer——加速全芯片LVS收敛的利器
对于目前项目越来越复杂和庞大的趋势,ICV LVS Explorer绝对是帮助用户快速达成LVS signoff 收敛目标的利器…
前沿创新科技RTL Architect以Shift-Left设计理念为驱动,为业界首个多维助力RTL设计收敛系统,能显著缩短芯片设计周期、降低物理实现风险…
了解新思科技TCAD解决方案如何协助客户使用其成本加速半导体技术研发时间和优化,以因应先进半导体设计面临严峻挑战,且掌握产业新契机…
以往通过不断裁剪设计以适应FPGA平台的方式已经很难满足当今项目的软件开发和系统验证需求…
以往通过不断裁剪设计以适应FPGA平台的方式已经很难满足当今项目的软件开发和系统验证需求…
抓准系统级芯片与算力加速度,芯片设计公司与整车厂如何准确把握智能汽车市场融合与需求点?…
那VIP与用户在项目中自己编写的Testbench有什么区别呢?它存在的价值又是什么呢?…
《芯课程》在先进制程中,如何利用StarRC 来侦测处理多层次设计可能潜藏的风险
在软件主导的数字汽车时代、汽车附加值更多的体现在软件上,软件代码数量 几何式增长,但软件缺陷引发的质量问题也愈发严重…
芯对话:Fusion Compiler 如何助力ARM CPU优化PPA
Arm与Synopsys在过去30年里精诚合作,以高性能处理器和先进的EDA工具为行业发展保驾护航…
随着数字电路设计复杂度的迅速增长,加速低功耗静态验证,缩短芯片验证周期在验证环节尤为重要…
前沿的嵌入式设计方案从这里开始…
课程培训涉及两种做ECO的方法,第一种是Formality全新的ECO方案——Formality ECO实现的Automatic ECO,第二种是是Formality的interactive ECO,支持pre/post Mask ECO,工程师通过交互界面编辑获得ECO脚本的解决方案...
本次研讨会介绍了NXP 与新思科技之间的协同开发,涵盖虚拟原型以及与ZeBu硬件加速器的混合仿真,以加快RTL IP驱动软件验证...
本课程将介绍新型多处理器集群架构,其高效可扩展性能够帮助在存储、汽车、网络和其他高端等嵌入式应用中达到极高的性能需求 ...
本次在线研讨会将帮助设计及芯片验证的工程师对于Formal Verification的了解和信心...
本节微课介绍了汽车MCU和芯片的独特可靠性要求,并着重介绍了Fusion Compiler中FuSa如何通过全流程优化将设计可靠性提高39%,并通过完全自动化工作加速了DCLS的实施...
精彩回顾第一届新思科技VC Formal SIG亚太线上专场,来自英特尔、燧原科技、NXP专家演讲...
新思科技专家介绍业界速度领先且稳定的ZeBu Server,助您加速验证收敛和项目开发。
新思科技VCS具有业界一流的仿真手段,20多年来一直致力于技术革新,使其每一版都有独特的新技术和新亮点...
为SoC选择合适的DRAM :DDR5、LPDDR5和HBM2E
本课程详细描述并对比了每种内存解决方案,简要介绍了设计人员应如何通过兼容的内存接口IP来满足其SoC需求 ...
Verdi是统一的Debug平台,本课程简要的介绍了Verdi在设计和验证流程中所提供的各个Debug功能以及组件...
本课程将分享HSPICE、FineSim SPICE、CustomSim、Fast SPICE等业界主流设计软件的参数、方法和常用使用技巧...
56G/112G Ethernet PHY IP可在高性能计算SoC中实现真正的长距离连接
本课程将简要的介绍在高性能计算下的SoC与高速联网SerDes PHY IP的近期趋势和用例 ...
本次课程将分享人工智能领域前沿的市场发展趋势和需求,从差异化算力、高带宽低能耗存储、覆盖缺陷和良率测试、以及信息安全等角度讲述AI芯片设计过程中所面临的挑战及策略,为人工智能芯片开发者系统的介绍了一整套经过广泛应用的IP解决方案产品及特征...
本课程将详细介绍新思科技基于Xilinx FPGA的硬件仿真加速器ZeBu Server 4...
新思科技参加《电子发烧友》主办的第三届人工智能高峰论坛 ,并做 《用于智能、高效边缘计算应用的新型人工智能SoC架构》专题演讲...
本课程将分享HSPICE、FineSim SPICE、CustomSim、Fast SPICE等业界主流设计软件的参数、方法和常用使用技巧...
本课程带你了解大规模ASIC原型验证的重要性以及对高性能ASIC原型验证平台的要求,包括HAPS与高性能ASIC原型验证的发展历史以及在不断的变革中适应各种原型需求...
本期芯课程将为大家介绍虚拟原型技术的产品背景、概览和优势以及应用场景...
电源域和复位域的数量不断增加,对跨复位域(RDC)分析的需求也越来越大。该网络研讨会将讨论我们面临的挑战以及可用于克服相关分析问题的新RDC方法 ...
VIP,即Verification IP,它是IP的一种,其本质是Testbench。VIP的主要作用是验证DUT。本课程将介绍VIP的存在价值以及应用...
掌握IC Compiler II的 Fusion融合技术是如何集合整个芯片实现后端的工具,实现设计流程的优化...
Fusion Design Platform 应对市场和工艺的挑战
本次课程将以求真求解的态度,从Fusion技术的特性、种类,实际工作流程中的作用,以及可预期的交付成果为你完整剖析Fusion Design Platform...
本节本课程详细讲解新思科技(Synopsys)在新年伊始推出全新统一的DFT平台——TestMAX Manager...
本课程将介绍用于超短距离的die-to-die数据传输连接的几种不同用例,以及在寻找用于die-to-die链接的高速PHY IP时要考虑的基本注意事项...
本课程将会针对CDC的上述基础问题,进行一 一介绍,并给出了CDC结构检查的问题类别和主要步骤...
本课程由三星专家介绍Fusion Compiler的DFT支持高容量和可生产的R2G流...
最新FinFET工艺下进行数模电路可靠性电路和版图设计的方法
基于Custom Compiler平台实现高可靠性和复杂的混合信号仿真和分析,利用Machine Learning 技术的HSPICE AVA 来完成精确且快速的Monte Carlo 分析,可控的自动化版图解决方案提高效率以及一系列的数字模拟混合设计方法和in-design检查功能,帮助设计者在先进工艺下加速设计的开发...
在此次课程中,您将了解新思科技存储器编译器和逻辑库的全面的产品系列和先进的产品功能,以及它们如何能够帮助您的SoC设计达到要求的性能、同时尽可能地减小面积和降低功耗 ...
如何使用Design Compiler、PrimeTime 等工具进行RTL到门级网表的实现,逻辑综合流程及STA分析
本节本课程详细讲解了芯片设计过程中RTL到门级网表的实现,逻辑综合流程,STA分析以及时序分析中的crosstalk、noise、POCV相关的分析方法 ...