White Paper Download

IC Compiler II Multi-Level Physical Hierarchy Floorplanning

Large, complex SoC designs require hierarchical layout methodologies that span multiple levels of physical hierarchy. Many EDA tools only handle two levels of physical hierarchy at a given time resulting in longer layout schedules that are risky at best. Synopsys' IC Compiler II provides automation designs with multiple levels of hierarchy that minimizes time to results, provides best QoR, and maximizes productivity of physical design teams.

This paper presents the need for multi-level physical hierarchy floorplanning, the challenges inherent with this style when using tools limited to two levels of hierarchy, and discusses how IC Compiler II addresses these challenges.

To download this paper, please complete the form below and click the "continue >>" button.

Note: By registering, you acknowledge and agree to the terms of the Synopsys Privacy Policy.

Required Required Fields

Business Email:Required
First Name:Required
Last Name:Required
Phone:Required
Job Title:Required
Company:Required
Division:Optional
Country:Required
Address:Required
City:Required
State/Province:
Optional
Postal/Zip Code:Required