CCD Everywhere Throughout the RTL-to-GDSII Design Flow with Synopsys' Fusion Compiler

Dr. Aiqun Cao, VP of Engineering at Synopsys, discusses how Fusion Compiler’s unified physical synthesis and common optimization framework enables full-flow concurrent clock and data (CCD) optimization, while ensuring physical convergence downstream.