Verification White Paper Download

Eliminate Silicon Respins with Netlist CDC Verification

Clock domain crossing (CDC) verification has been an integral part of modern chip design flow for quite sometime. Traditionally CDC verification has been done during the RTL stage. However, for advanced designs and complex flows, there is significant logic optimization during RTL synthesis as well as backend flows at the netlist stage. This mandates clock domain crossing verification a must for accurate signoff at the netlist stage for a first-pass silicon.

Please complete the following form then click 'continue >>' to complete the download.   Note: By registering, you acknowledge and agree to the terms of the Synopsys Privacy Policy.

Required Required Fields

Business Email:Required
First Name:Required
Last Name:Required
Phone:Required
Job Title:Required
Company:Required
Country/Region:Required
Address:Required
City:Required
State/Province:
Optional
Postal/Zip Code:Required