プログラム

10:00

10:40
Keynote-キーノートスピーチ
講演者
Silicon to Software ~‘Shift Left’!

Synopsys, Inc.
President & co-CEO
Dr. Chi-Foon Chan

半導体からソフトウェアに至る技術開発の世界では、同時進行する二つの大きな潮流が未来を形作りつつあります。まず、ここ10年の半導体技術の進化により、低消費電力で低コストの半導体が可能となり、コンピューティング能力が大幅に向上、Internet of Things(IoT)の世界を創出しました。そして、組込みソフトウェアや各種アプリケーション・ソフトウェアの劇的な進化が、こうした新技術の展開にさらに拍車をかけています。
こうした流れに乗って、“全てのモノがスマート化”する時代が幕を明けました。テクノロジ開発の面でもビジネス・モデルの面でも、この影響を免れることはできません。ハードウェア設計者やソフトウェア開発者にとっては、大きなプレッシャーがのしかかってくる時代となります。開発対象が高度に複雑化するにも関わらず、開発期間を前倒しする“シフト・レフト”を実現していかなければならないからです。
本セッションでは、設計、検証、IPそしてソフトウェアの品質とセキュリティの分野での技術革新/生産性向上について概観し、半導体設計/ソフトウェア開発の両面で、“シフト・レフト”を実現する道へ皆様をいざないます。
AMS
10:55

11:35
A-1a
セミカスタムIC “BCDマスターチップ”へのIC Compiler適用事例の紹介
新日本無線株式会社
IC設計本部 第二設計部 第二設計課
主任 小笠原 健一 様
新日本無線株式会社では、セミカスタムIC設計向けにシノプシス社のカスタム・デザイン・プラットフォームGalaxy Custom Designerを導入、豊富なシミュレーションツール群と併せて設計効率化、高品質設計化を行ってきました。今回はセミカスタムIC ”BCDマスターチップ” の開発において、これらの環境に加えてゲートアレイ部へのIC Compilerの適用を行うことにより、さらに設計効率化を実現しましたのでその適用事例をご紹介します。
また、このセッションではBCDマスターチップ開発に使用されたシノプシス ツールの最新技術情報も合わせてご紹介します。
A-1b
フルカスタム設計での設計収束とレイアウト設計の効率化を実現するシノプシス製品の最新情報
Synopsys, Inc.
Design Group
VP CAE Ravi Tembhekar
本セッションでは、AMSセッションのキーノートとして、シノプシスのフルカスタム設計フローとツールを用いて、どのように設計生産性を向上できるかをご紹介します。特にシミュレーションの大幅なパフォーマンス改善、レイアウト設計の効率を改善する新しい機能の数々をご説明します。
11:40

12:20
A-2
FinFETカスタム設計のベストプラクティス ~Mixed-Signal IP設計とエレクトロマイグレーションへの対応
Synopsys, Inc.
Design Group, Custom Layout Products
Product Marketing Manager Maged Attia
Synopsys, Inc.
Design Group Business Unit
CAE Denis Goinard
手設計によるレイアウト開発では、ツールと設計手法を最大限に活用することで設計時間を大幅に短縮できます。本セッションでは、FinFETデバイスを用いたカスタム設計において、高い設計生産性を実現するためのヒントをご紹介します。また、信頼性の高いFinFETデザインを開発するために不可欠なエレクトロマイグレーションへの対応について、シノプシスのカスタム設計フローを用いてどのように問題を早期に検出・修正できるか、デモにてご覧いただきます。
12:30

13:10
Lunch-お昼休憩  ※お弁当をご用意しております
13:20

14:00
A-3
Galaxy Custom Designer適用による設計効率化
ルネサス システムデザイン株式会社
第四開発事業部 バックエンド設計第一部 バックエンド設計第二課
主任技師 鶴崎 宏亀 様
ルネサスでは、先端MCU製品の電源、アナログ配線実装の効率化のためGalaxy Custom Designerの適用を進めています。本発表では先端MCU製品へのCustom Designerの適用事例と効率化におけるノウハウについてご紹介します。
Automotive
14:05

14:45
A-4
シノプシス社のカスタム設計環境で実現するパナソニック・タワージャズのiPDK開発と今後の展開
パナソニック・タワージャズセミコンダクター株式会社
プロセステクノロジーセンター 基盤技術開発部 設計基盤開発一課
課長 岡田 直樹 様
昨年発足したスペシャルティファウンドリのパナソニック・タワージャズでは、設立当社から幅広いユーザーを効果的にサポートするため、EDAツールに依存しない相互運用可能なiPDKの開発&サポートを行っています。
弊社のスペシャルティプロセスの一つであるイメージセンサー向けiPDK開発において、シノプシス社のGalaxy Custom Designer、Lakerをベースとしたカスタム設計環境は、開発効率化に大きく寄与しています。
今回は、弊社でのiPDKの開発と検証フローをご紹介します。さらに、ユーザーフレンドリな環境構築や今後の弊社での展開プラン、シノプシス社に期待する改善点などについてご説明します。
14:50

15:30
A-5
Gbps時代の基板設計におけるHSPICE適用事例 ~IBIS-AMI/Sパラメータ活用
京セラサーキットソリューションズ株式会社
基板商品技術部 商品設計支援課
1係責任者 海谷 清彦 様
近年、高速差動信号のSI解析を行うデバイスモデルとしてIBIS-AMIモデルが使われるようになってきました。さらに、基板、ケーブル、コネクタ等の伝送線路の等価モデルとして、Sパラメータが使われるようになってきました。これらのモデルをシミュレータへ取り込んで解析しても電圧波形が出なかったり、実測ではありえないような電圧波形が出力されたりしたことがありませんか?本セッションではシノプシス社のSI解析ツールであるHSPICEを用いて、京セラサーキットソリューションズが過去対応した様々なSI解析事例の中から、IBIS-AMIモデルを用いた解析事例や、HSPICEでSパラメータの伝送線路モデルを扱う場合の注意点についてご紹介します。
15:30

15:50
Coffee Break - コーヒーブレイク
15:50

16:30
A-6
DRAM設計最適化を実現するMOSRA APIを用いたHC/BTI回路レベル信頼性シミュレーション
マイクロンメモリジャパン株式会社
DRAM Engineering R&D, DEG CAD
開発部長 Peter Lee 様
近年システムの微細化、高性能化の追求により、半導体に要求される信頼性は非常に高くなっています。その高い信頼性を確保する手段の一つとしてデバイスのHot Carrier(HC)、Bias Temperature Instability (BTI)の影響を含めた回路動作を検証するMOS信頼性解析シミュレーションがあります。弊社では、MOSRA APIを用いて独自の信頼性モデルをシノプシス社の回路シミュレータに組み込んでいます。
本セッションでは、半導体産業でこれまでの信頼性シミュレーションへの取り組みを紹介した後、DRAM設計において、弊社独自のモデルを組み込んだ信頼性シミュレーションが最高の性能を得るためにどのように活用されているかご紹介いたします。
16:35

17:15
A-7
SoC/マイコン製品設計におけるCCKを活用した検証の大規模回路対応と電源遮断検証の改善
ルネサス システムデザイン株式会社
第一要素技術事業部 デザインオートメーション部
技師 五十嵐 友則 様
ルネサスでは、DCパスによる電流リークや耐圧不足による素子破壊などの不具合を設計の早い段階で網羅的に検出し、設計品質を向上すべく、シノプシス社のCustomSim Circuit Check(CCK)を活用していました。
近年のSoC/マイコン製品でのマルチコアや高度なグラフィック、ネットワーク処理といった多機能化や大規模化に対応するため、シノプシス社の協力のもと、耐圧チェックなどの検証機能の省メモリ化、高速化を行いました。加えて、低消費電力設計への対応として、電源遮断時に生じるDCパスでの電流リークを防止するために、既存のCCK機能をベースとしたエンハンスを行い、より高精度な検証を可能としました。
今回は、弊社の検証の取り組みをご紹介すると共に、これらの開発事例をご紹介します。
17:20

18:00
A-8
イメージセンサにおける高速インターフェイスに対するVCS-AMS適用事例
株式会社東芝 セミコンダクター&ストレージ社
イメージセンサ事業統括部 イメージセンサ技術部
イメージセンサ設計担当
参事 天野 邦彦 様
ディジタル・アナログ回路の接続検証の一例として、イメージセンサの高速インターフェイスのシステム検証にVCSとFinesimによるco-simulationを適用した事例をご紹介します。
今回はSPICEシミュレータの精度設定が検証結果とパフォーマンスに与える影響について取り上げたいと思います。またSPICE/Verilog HDL記述を併用することによるメリット、デメリット、検証IPによる効率化などについても考察します。
ページTOPへ