DW02_mult_6_stage

IP Directory Component Detail

Description: Six-Stage Pipelined Multiplier
Name: DW02_mult_6_stage
Version: DWBB_202312.2
ECCN: EAR99/NLR
STARs: Open and/or Closed STARs
myDesignWare: Subscribe for Notifications
Product Type: DesignWare Building Blocks
Overview: DesignWare Building Block Components
Documentation:
Examples: Direct Instantiation in Verilog
Direct Instantiation in VHDL