Driving the Next Wave of Product and Market Innovation

Arm®-based SoC designs are driving the next wave of product and market innovation. These SoCs are architected to deliver superior connectivity, improved security, increased processing power, longer battery life, and advanced AI for next-generation products in a range of growing markets. Synopsys and Arm® have a long history of R&D collaboration, leading to solutions that enable mutual customers to accelerate their software development, co-verification of hardware and software, and quality of Arm-based designs. 

Key Benefits

Chip Icon | Synopsys Arm Partnership

Proven Path to Optimized PPA

The benchmark for trustworthy, power-centric performance

Light Bulb Icon | Synopsys Arm Partnership

Solutions for Market Segments

Design and deploy market-shaping solutions for markets such as HPC, automotive, and mobile

Partner Icon | Synopsys Arm Partnership

Three Decades of Collaboration

Synopsys' solutions and flows deployed for Arm's most advanced designs

Arm Processor Solutions and Services

<p>Today, the majority of advanced SoCs based on Arm processors are designed using Synopsys solutions. Synopsys’ optimized solutions for implementation of Arm processors include:&nbsp;</p><ul><li><a href="https://solvnet.synopsys.com/arm-ri">QuickStart Implementation Kits (QIKs)</a>&nbsp;giving users trusted, proven implementations&nbsp;</li><li>Synopsys' <a href="/content/synopsys/en-us/implementation-and-signoff/fusion-design-platform.html">Fusion Design Platform</a> tuned for maximizing performance-per-watt for Arm-based designs</li><li><a href="/content/synopsys/en-us/solutions/low-power-design.html">Low power methodology and tools</a></li><li><a href="/content/synopsys/en-us/services/design-services.html">Expert design services</a></li></ul>

Optimized Implementation for Arm-Based SoCs

Today, the majority of advanced SoCs based on Arm processors are designed using Synopsys solutions. Synopsys’ optimized solutions for implementation of Arm processors include: 

<p>Synopsys has been delivering FPGA-based prototyping systems to hardware and software engineers for more than 10 years. The&nbsp;<a href="https://www.synopsys.com/verification/prototyping.html" title="High-performance ASIC Prototyping Systems">HAPS® (High-performance ASIC Prototyping Systems)</a>&nbsp;family of products provides an integrated and scalable hardware-software solution used by design and verification teams to improve their ASIC design schedules and avoid costly device re-spins. HAPS® supports all&nbsp;<a href="https://www.arm.com/products/silicon-ip-cpu">Arm processor cores</a>&nbsp;&amp; select&nbsp;<a href="http://www.arm.com/products/tools/development-boards/versatile-express/coretile-express.php">Arm RealView® CoreTile Express boards</a>.&nbsp;</p>

System Validation for Arm Products

Synopsys has been delivering FPGA-based prototyping systems to hardware and software engineers for more than 10 years. The HAPS® (High-performance ASIC Prototyping Systems) family of products provides an integrated and scalable hardware-software solution used by design and verification teams to improve their ASIC design schedules and avoid costly device re-spins. HAPS® supports all Arm processor cores & select Arm RealView® CoreTile Express boards

<p>Start development months before the hardware design is complete, enabling full system bring-up to occur within days of silicon availability: </p><ul><li>The <a href="/content/synopsys/en-us/verification/virtual-prototyping/vdk/vdk-for-arm.html">Virtualizer™ Development Kit (VDK) Family for ARM Processors</a> offers a wide range of models (including the latest Armv9 processors) and software tools</li><li>Synopsys <a href="/content/synopsys/en-us/verification/virtual-prototyping/platform-architect.html">Platform Architect™</a> provides architects and system designers with SystemC™ TLM tools and efficient methods for early analysis and optimization of multicore and AI-enabled SoC architectures for performance and power</li><li>Synopsys' <a href="/content/synopsys/en-us/verification/virtual-prototyping/virtualizer/hybrid-prototyping.html">hybrid prototyping solution</a> blends the strengths of both virtual and <a href="/content/synopsys/en-us/verification/prototyping.html">HAPS® FPGA-based prototyping</a> to enable software development and system integration much sooner. Easily integrate Arm® Cortex™ processor models, transactors for Arm AMBA® interconnect and Synopsys <a href="/content/synopsys/en-us/designware-ip.html">DesignWare® IP</a> into a single hybrid prototype.</li></ul>

Early SW Development, HW Design & Integration for Arm Products

Start development months before the hardware design is complete, enabling full system bring-up to occur within days of silicon availability: 

  • The Virtualizer™ Development Kit (VDK) Family for ARM Processors offers a wide range of models (including the latest Armv9 processors) and software tools
  • Synopsys Platform Architect™ provides architects and system designers with SystemC™ TLM tools and efficient methods for early analysis and optimization of multicore and AI-enabled SoC architectures for performance and power
  • Synopsys' hybrid prototyping solution blends the strengths of both virtual and HAPS® FPGA-based prototyping to enable software development and system integration much sooner. Easily integrate Arm® Cortex™ processor models, transactors for Arm AMBA® interconnect and Synopsys DesignWare® IP into a single hybrid prototype.
  • A wide variety of automotive-targeted Arm IP is used in microcontrollers and system-on-chips found in automotive electronics systems.  As a leader enabling the automotive supply chain to deploy electronics digital twins, Synopsys has partnered closely with Arm for more than two decades to provide advanced solutions. The software-defined vehicle transformation driving the automotive market will benefit from this solid foundation in multiple areas resulting in lower verification and validation costs and faster more differentiated innovation​.
<p>Arm and Synopsys collaborate to enable software development, architecture compliance and <a href="/content/synopsys/en-us/verification.html">design verification</a> of SoCs based on Arm® processors and Arm AMBA protocol interfaces. At every stage of design verification cycle starting from IP to SoC, Synopsys provides directed payload to software-driven verification solutions. </p><p>Synopsys protocol verification solutions consisting of VIP, transactors, memory models, monitors and in-circuit speed adaptors for Arm Protocols and interconnects, enable verification engineers to build Arm SoCs and sub-systems to verify AMBA interfaces, test architectural compliance and tune the performance of interconnect and memory subsystems. To enable more rapid and productive verification of Arm-based SoCs, Synopsys and Arm have collaborated on many initiatives, including: SystemVerilog, verification methodology, simulation performance, low power verification, debug and verification IP for AMBA interconnect.</p>

End-to-end Verification Solutions for Arm Designs

Arm and Synopsys collaborate to enable software development, architecture compliance and design verification of SoCs based on Arm® processors and Arm AMBA protocol interfaces. At every stage of design verification cycle starting from IP to SoC, Synopsys provides directed payload to software-driven verification solutions. 

Synopsys protocol verification solutions consisting of VIP, transactors, memory models, monitors and in-circuit speed adaptors for Arm Protocols and interconnects, enable verification engineers to build Arm SoCs and sub-systems to verify AMBA interfaces, test architectural compliance and tune the performance of interconnect and memory subsystems. To enable more rapid and productive verification of Arm-based SoCs, Synopsys and Arm have collaborated on many initiatives, including: SystemVerilog, verification methodology, simulation performance, low power verification, debug and verification IP for AMBA interconnect.

<div>We offer a range of support for hardening and optimizing Arm cores:</div><ul><li>QuickStart Kits for Arm Cores:&nbsp;If you want to implement Arm cores yourself, download our&nbsp;<a title="QuickStart documentation" href="https://solvnet.synopsys.com/arm-ri">QuickStart documentation</a>&nbsp;which contains scripts, constraints, and a reference guide.</li></ul><ul><li>QuickStart Implementation Service:&nbsp;a four week <a href="/content/synopsys/en-us/services/design-services/arm-core-hardening-optimization.html">consulting engagement</a> designed to help accelerate the development of Arm Cortex®-A55 based SoCs using Synopsys tools.</li></ul><ul><li>Arm Core Hardening and Optimization Services:&nbsp;Our CoreOpt Consultants work as a member of your team to help close your designs for timing, signal integrity, and power integrity, or take the entire core from RTL-to-GDSII and deliver a hard macro.</li></ul>

Arm Core Hardening & Optimization Options

We offer a range of support for hardening and optimizing Arm cores:
  • QuickStart Kits for Arm Cores: If you want to implement Arm cores yourself, download our QuickStart documentation which contains scripts, constraints, and a reference guide.
  • QuickStart Implementation Service: a four week consulting engagement designed to help accelerate the development of Arm Cortex®-A55 based SoCs using Synopsys tools.
  • Arm Core Hardening and Optimization Services: Our CoreOpt Consultants work as a member of your team to help close your designs for timing, signal integrity, and power integrity, or take the entire core from RTL-to-GDSII and deliver a hard macro.
<p>Arm and Synopsys to closely align on product roadmaps and enhance Synopsys' <a href="/content/synopsys/en-us/designware-ip/interface-ip.html">Interface IP</a> and <a href="/content/synopsys/en-us/verification/verification-ip.html">Verification IP</a> solutions with specific compute capabilities for Arm processor IP, maximizing system performance. Synopsys’ silicon-proven interface IP includes the most widely used protocols such CXL, Die-to-Die, PHYs and controllers for DDR, HBM, PCI Express®, CCIX, Ethernet, and USB. Synopsys VIP is approved for the full range of protocols from AMBA 5 CHI, AMBA AXI/ACE to APB. The VIP is extensively tested in conjunction with Arm interconnects, including the CCI and CCN family of interconnects, and includes specific test sequences, coverage points and checks targeted at verification of these interconnects. Designers can trust that Synopsys IP will be interoperable and successfully integrate into their Arm-based SoCs while minimizing risk and accelerating time to market.</p>

Synopsys Interface IP and VIP

Arm and Synopsys to closely align on product roadmaps and enhance Synopsys' Interface IP and Verification IP solutions with specific compute capabilities for Arm processor IP, maximizing system performance. Synopsys’ silicon-proven interface IP includes the most widely used protocols such CXL, Die-to-Die, PHYs and controllers for DDR, HBM, PCI Express®, CCIX, Ethernet, and USB. Synopsys VIP is approved for the full range of protocols from AMBA 5 CHI, AMBA AXI/ACE to APB. The VIP is extensively tested in conjunction with Arm interconnects, including the CCI and CCN family of interconnects, and includes specific test sequences, coverage points and checks targeted at verification of these interconnects. Designers can trust that Synopsys IP will be interoperable and successfully integrate into their Arm-based SoCs while minimizing risk and accelerating time to market.

Testimonials

Latest News & Resources

Connect with Us